登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

『簡體書』数字逻辑与处理器基础

書城自編碼: 3948522
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 汪玉、李学清、马洪兵、马惠敏
國際書號(ISBN): 9787302637028
出版社: 清华大学出版社
出版日期: 2023-12-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 420

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
哲学思维:清晰思考的12条关键原则
《 哲学思维:清晰思考的12条关键原则 》

售價:NT$ 386.0
海盗之书
《 海盗之书 》

售價:NT$ 381.0
亲美与反美:战后日本的政治无意识
《 亲美与反美:战后日本的政治无意识 》

售價:NT$ 325.0
亲爱的安吉维拉:或一份包含15条建议的女性主义宣言
《 亲爱的安吉维拉:或一份包含15条建议的女性主义宣言 》

售價:NT$ 274.0
理想国译丛064:狼性时代:第三帝国余波中的德国与德国人,1945—1955
《 理想国译丛064:狼性时代:第三帝国余波中的德国与德国人,1945—1955 》

售價:NT$ 571.0
海外中国研究·明清中国的经济结构
《 海外中国研究·明清中国的经济结构 》

售價:NT$ 717.0
理想国译丛018:活着回来的男人:一个普通日本兵的二战及战后生命史(2024版)
《 理想国译丛018:活着回来的男人:一个普通日本兵的二战及战后生命史(2024版) 》

售價:NT$ 515.0
考古四记:田野中的历史人生
《 考古四记:田野中的历史人生 》

售價:NT$ 493.0

建議一齊購買:

+

NT$ 278
《 当代中国政府与政治(新编21世纪公共管理系列教材) 》
+

NT$ 437
《 计量经济学及Stata应用(第二版) 》
+

NT$ 325
《 心理健康教育课程设计与组织(第二版) 》
+

NT$ 386
《 中外园林史-有课件 》
+

NT$ 600
《 森林培育学(第4版国家林业和草原局普通高等教育十三五规划教材) 》
+

NT$ 293
《 大学物理实验教程(第三版) 》
編輯推薦:
本书是清华大学电子信息科学与技术大类本科生的核心课“数字逻辑与处理器基础”的教材。本书帮助读者在现代信息科学与技术的学科体系中,理解并融会贯通数字电路与处理器、硬件思路与软件思路的相互关系,掌握数字电路和处理器的基本原理、分析设计方法和利用电路解决实际问题的能力,领悟数字系统的设计思想与理念,为信息技术产业实践或科学研究打下基础。
本书配套课堂讲义、习题解答、小视频、课程设计等教学资源,并提供教学交流沙龙,便于授课教师开展混合式课堂教学。
內容簡介:
本书从“如何用数字电路与处理器解决计算问题”这一需求出发,围绕数字电路和处理器两大部分进行讲解。数字电路部分重点介绍集成电路的数学基础、组合逻辑与时序逻辑的基本概念、分析与设计方法、发展规律与核心思想。处理器部分重点介绍处理器的基本概念和原理、汇编基础知识、不同种类基础处理器的分析与设计方法、多级缓存的存储器架构、处理器的发展规律与核心思想。本书配有实验环节,基于第一部分讲授的数字电路内容,利用硬件描述语言设计、优化基本的处理器,并在可编程逻辑器件上验证。本书适合作为信息科学与技术领域的本科生教材,也可供相关领域工程技术人员参考。
關於作者:
汪玉,清华大学电子工程系长聘教授、系主任,IEEE Fellow,国家自然科学基金杰出青年基金获得者,清华大学信息科学技术学院副院长,清华大学天津电子信息研究院院长。2002年和2007年于清华大学电子工程系分别获得学士与博士学位。长期从事智能芯片、高能效电路与系统领域的科学研究及人才培养工作。
李学清,清华大学电子工程系副教授。2007年和2013年于清华大学电子工程系分别获得学士与博士学位。从事高性能混合信号集成电路芯片、新型存储与计算的电路与系统研究。
马洪兵,清华大学电子工程系研究员、新疆大学天山学者讲座教授。1999年于北京大学获得博士学位。从事模式识别、计算机视觉、遥感技术应用和嵌入式系统领域的研究。
马惠敏,北京科技大学教授、领军学者、计算机与通信工程学院副院长,中国图象图形学学会副理事长。在北京理工大学获得博士学位,2001—2019年在清华大学电子工程系任教。从事计算机视觉认知计算、智能无人系统领域的科学研究。
目錄
第1章绪论
1.1数字电路简介
1.1.1数字电路的数学基础
1.1.2数字集成电路的发展历史
1.1.3数字电路的优点
1.1.4数字电路的分层抽象
1.1.5集成电路产业介绍
1.2计算机组成与处理器
1.2.1计算机组成
1.2.2处理器的理论基础
1.2.3处理器发展历史
1.3本书关注的核心问题及核心思想
1.3.1处理核心问题的两种解决方案
1.3.2解决方案的核心思想
1.4关于本书
1.4.1本书定位及目标
1.4.2教材结构
1.5拓展阅读
1.6思考题
1.7参考文献
第2章数的表示与布尔函数
2.1二进制计数系统
2.1.1历史中的二进制
2.1.2自然二进制
2.2信息的二进制编码
2.2.1整数的二进制编码
2.2.2小数的二进制编码
2.2.3其他编码
2.2.4二进制信息的单位
2.3布尔函数及其表示
2.3.1布尔运算与逻辑门
2.3.2布尔函数与真值表
2.3.3两级逻辑
2.3.4卡诺图
2.4布尔函数的化简
2.4.1卡诺图化简法
2.4.2QM算法
2.5总结
2.6拓展阅读
2.7习题
2.8参考文献
第3章组合逻辑电路的分析与设计
3.1从布尔表达式到数字逻辑电路的构建
3.2组合逻辑的定义与表示
3.2.1组合逻辑的定义
3.2.2组合逻辑的表示
3.3组合逻辑电路的分析
3.4组合逻辑电路的设计
3.5组合逻辑电路的评价
3.5.1稳态因素
3.5.2动态因素
3.6典型组合逻辑电路的设计
3.6.1编码器
3.6.2译码器
3.6.3多路选择器
3.6.4加法器
3.7总结
3.8拓展阅读
3.9习题



第4章时序逻辑分析与设计
4.1基本概念
4.1.1过程的离散化
4.1.2时钟
4.1.3时序逻辑电路分类
4.1.4有限状态机
4.2基本时序逻辑单元
4.2.1锁存器
4.2.2触发器
4.2.3时序参数与性能分析
4.3同步时序电路的分析方法
4.3.1整体分析流程
4.3.2时序约束与性能分析
4.4同步时序电路设计
4.4.1设计流程
4.4.2状态机抽象方法
4.4.3状态化简方法
4.4.4状态分配与编码
4.4.5自启动检查
4.5亚稳态和同步
4.5.1亚稳态
4.5.2同步器设计
4.5.3同步复位和异步复位
4.6典型时序逻辑电路
4.6.1寄存器
4.6.2计数器
4.6.3模块与接口
4.7拓展知识
4.7.1传统的锁存器/触发器实现方法
4.7.2四种逻辑功能的触发器
4.7.3分解有限状态机
4.8总结
4.9拓展阅读
4.10思考题
4.11习题
4.12参考文献
第5章计算机指令集架构
5.1通用计算机与指令集
5.1.1通用计算机的意义
5.1.2从图灵机到通用计算机
5.1.3指令集架构——软硬件接口
5.2指令集架构
5.2.1状态表示及存储
5.2.2指令功能
5.3MIPS指令集
5.3.1寄存器
5.3.2存储器
5.3.3指令格式
5.3.4寻址方式
5.4汇编程序设计
5.4.1语法
5.4.2变量与数组
5.4.3分支
5.4.4过程调用
5.4.5异常处理
5.4.6MARS模拟器
5.5性能评价
5.5.1性能的定义及评价指标
5.5.2影响性能的因素
5.5.3系统性能的优化
5.6总结
5.7拓展阅读
5.7.1符号扩展与无符号扩展
5.7.2x86指令集
5.8思考题
5.9习题
第6章单周期与多周期处理器
6.1单周期处理器基本概念
6.1.1处理器基本操作阶段
6.1.2单周期处理器基本硬件单元
6.2ALU
6.3内存访问和计算指令的实现
6.3.1内存访问指令
6.3.2基础计算指令
6.4分支与跳转指令的实现
6.4.1分支指令
6.4.2跳转指令
6.4.3跳转链接和跳转到寄存器
6.5控制信号的生成
6.6性能评价
6.6.1关键路径
6.6.2性能评价

6.7单周期处理器的中断与异常处理
6.8多周期处理器
6.8.1单周期处理器面临的挑战
6.8.2多周期处理器概念
6.8.3多周期处理器的性能评价和问题
6.9总结
6.10拓展阅读
6.10.1处理器模块的时序和Verilog HDL实现
6.10.2协处理器简介
6.10.3RISCV处理器
6.11习题

第7章流水线处理器设计
7.1流水线的基本概念
7.2MIPS处理器的五级流水线设计
7.3流水线处理器中的冒险
7.4MIPS五级流水线处理器的数据冒险
7.4.1数据冒险导致的拥塞
7.4.2MIPS五级流水线的数据转发
7.5MIPS五级流水线处理器的控制冒险
7.5.1J指令的控制冒险及其硬件解决方法
7.5.2BEQ指令的控制冒险及其硬件处理方法
7.5.3分支预测
7.5.4延时槽技术
7.5.5中断和异常
7.6总结
7.7拓展阅读
7.7.1寄存器堆“先写后读”实现方式
7.7.2进一步提升流水线的性能
7.7.3其他的指令级并行技术
7.8习题
第8章存储系统设计
8.1存储器系统基础
8.1.1存储器的发展现状与理想需求
8.1.2存储器简介
8.2层次结构存储系统
8.2.1单一存储介质的困境
8.2.2存储系统设计基础: 局部性原理
8.2.3存储系统的层次结构
8.2.4层次结构存储系统的性能度量
8.3高速缓存技术
8.3.1高速缓存的基本概念简介
8.3.2高速缓存的基础结构
8.3.3高速缓存的地址映像方式
8.3.4高速缓存中数据的替换与更新
8.4高速缓存的性能分析
8.4.1高速缓存的性能损失分析
8.4.2高速缓存的性能评估
8.4.3高速缓存性能的改进方向: 多级高速缓存
8.5虚拟内存
8.5.1虚拟内存简介
8.5.2物理寻址与虚拟寻址
8.5.3虚拟内存的组织方式
8.5.4内存管理单元的缺失处理
8.6拓展阅读
8.7习题
第9章计算机系统简介
9.1总线的定义及分类
9.1.1总线的定义及性能指标
9.1.2总线的结构及分类
9.2总线是如何工作的
9.2.1总线传输过程
9.2.2总线判优控制
9.2.3总线通信控制
9.3外设的定义及分类
9.3.1典型案例1: I/O设备
9.3.2典型案例2: 磁盘
9.4外设是如何工作的
9.4.1I/O设备及其系统的设计目标
9.4.2I/O系统和计算机系统之间的寻址方式
9.4.3I/O系统和计算机系统之间的数据交互方式
9.5常用总线标准及接口
9.5.1I2C总线
9.5.2PCI与PCIe总线
9.5.3USB
9.6拓展阅读
9.7习题
9.8参考文献
內容試閱
几十年来,集成电路为各类信息处理提供了硬件支撑,推动了信息产业的蓬勃发展。其中,数字电路与处理器作为一个核心分支,向上承接数据与算法和操作系统,向下对接基础电子器件与电路,在信息技术产业发展和电子信息知识体系中起着承上启下的重要作用,是产业界和学术界积极投入的重要领域。近年来,随着人工智能、物联网等领域的快速发展,数字电路和处理器面临新的机遇和挑战。可以预见,在推动信息产业迈向新阶段的过程中,培养一大批掌握数字电路与处理器基础知识、技能和核心理念的人才,具备重要的意义。
在此背景之下,本书面向“如何使用数字电路与处理器完成计算任务”这一核心问题,归纳总结了两套解决方案: 为特定应用算法定制的专用硬件思路和各类应用算法通用硬件平台的软件思路。硬件思路与软件思路分别对应数字电路与处理器基础的核心内容。基于此,围绕“数字电路”“处理器”两个关键词,清华大学电子工程系构建起新的课程体系,建立高效、深入、统一的学习框架,帮助读者掌握统一的数字电路和处理器分析与设计技能,理解、领悟其中的核心思想与理念。

从内容结构来看,本书包括数字电路与处理器基础两部分内容。前者主要关注布尔代数、组合逻辑、时序逻辑等数字电路的基本原理和分析设计,后者侧重于指令集架构、汇编语言、处理器、存储器和外设等计算机基本原理和分析设计的相关内容。在传统的电子信息相关专业中,这两部分内容往往对应“数字电路”“微机原理”两门课程,并分别配备对应的教学参考资料。本书通过融合这两部分的内容,从一个更宏观的整体的角度将两门课程进行统筹教学,并在清华大学电子工程系成功完成了一个学期学习这两部分内容的教学实践。
从章节编排来看,第1章绪论部分总体介绍数字电路与处理器的背景知识,并初探本书的核心思想。在理论知识部分中,第2章讨论数字电路的数学基础,第3、4章分别介绍组合逻辑电路以及时序逻辑电路的相关内容。第2~4章构成本书的前半部分: 关注“硬件思路”的“数字逻辑”。从第5章开始,本书逐步把视角聚焦到“软件思路”,带领读者走进本书的后半部分:
处理器基础。第5章以MIPS指令集为典型案例,介绍计算机指令集系统,第6、7章介绍面向MIPS指令集的处理器设计方法,主要考察三类处理器: 单周期处理器、多周期处理器和流水线处理器。特别地,结合数字电路部分内容,探讨如何利用数字电路的设计方法完成基础处理器的设计与优化。第8、9章分别介绍存储器与总线外设等相关内容。

我们希望通过撰写本书,并逐步提供讲义幻灯片、课堂教授视频、作业解析、基础概念小视频等配套资料,帮助读者在现代信息科学与技术的学科体系中,理解并融会贯通数字电路与处理器、硬件思路与软件思路的相互关系,掌握数字电路与处理器的基本原理、分析设计方法和利用电路解决实际问题的能力,领悟数字系统的设计思想与理念,为在信息技术产业的产业实践或科学研究打下坚实的基础。对于本课程后续更深入的知识,可以参考大规模数字集成电路设计和高等体系架构、数字片上系统等相关资料。

本书面向数字电路与处理器的学习和授课需求,可供电子信息类、计算机类、自动化类、生物医学工程等相关专业的本科生以及其他专业感兴趣的读者使用。一方面,本书作为清华大学电子信息科学与技术大类的本科专业基础核心课程配套教材,与其他多部教材一起组成电子信息科学与技术大类的完整教材体系; 另一方面,本书也适合希望了解电路和处理器基础知识的读者使用,或者在相关专业的培养方案中作为教材与参考书。在清华大学电子工程系的教学实践中,共为本课程分配48学时。为了在48学时内完成课程讲授,我们在本书的前4章(数字逻辑部分)压缩和略去了部分内容,共需要约16学时进行讲授; 而后5章(处理器基础部分)则需要约32学时。使用本书时可以根据实际需求适当扩充学时,也可以只使用本书的前4章作为数字逻辑课程的教材,或只使用本书的后5章作为处理器基础课程的教材,各分配32学时进行讲授。欢迎广大读者和任课教师与编者交流并提出宝贵意见建议。
衷心感谢周润德老师、葛宁老师对本书提出的宝贵意见和提供的诸多支持。在本书的编写过程中,朱振华、蔡熠、曾书霖、钟凯、邱剑涛、陈佳煜、郭开元、余金城、吴珏键、孙寒泊、李师尧、张智帅、朱昱、张浩瑜等研究生参与了文稿撰写和整理工作,宁昱诚、程子轩等本科生参与了封面设计和美化工作。

由于编者水平有限,书中难免存在不足之处,敬请读者批评指正。


编者
2023年11月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.