登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年04月出版新書

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

『簡體書』CMOS模拟集成电路全流程设计

書城自編碼: 3934962
分類: 簡體書→大陸圖書→工業技術電工技術
作者: 李金城
國際書號(ISBN): 9787111737063
出版社: 机械工业出版社
出版日期: 2023-11-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 722

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
饥饿与国家:苏丹的饥荒、奴隶制和权力(1883~1956)
《 饥饿与国家:苏丹的饥荒、奴隶制和权力(1883~1956) 》

售價:NT$ 386.0
管好你的钱:人人都要懂的财富传承(一本书带你了解财富传承的7种方式)
《 管好你的钱:人人都要懂的财富传承(一本书带你了解财富传承的7种方式) 》

售價:NT$ 381.0
新质生产力:中国创新发展的着力点与内在逻辑
《 新质生产力:中国创新发展的着力点与内在逻辑 》

售價:NT$ 442.0
“漫画强国科技”系列(全4册)
《 “漫画强国科技”系列(全4册) 》

售價:NT$ 784.0
打破社交媒体棱镜:探寻网络政治极化的根源
《 打破社交媒体棱镜:探寻网络政治极化的根源 》

售價:NT$ 325.0
那一抹嫣红
《 那一抹嫣红 》

售價:NT$ 330.0
十八岁出门远行
《 十八岁出门远行 》

售價:NT$ 252.0
新能源与智能汽车技术丛书——智能车辆感知、轨迹规划与控制
《 新能源与智能汽车技术丛书——智能车辆感知、轨迹规划与控制 》

售價:NT$ 661.0

建議一齊購買:

+

NT$ 274
《 独立运行微电网容量优化配置 》
+

NT$ 428
《 曳引电梯主要部件及功能检验检测技术 》
+

NT$ 293
《 轻松学电气识图(第二版) 》
+

NT$ 180
《 光伏企业质量控制与管理(王丽) 》
+

NT$ 1501
《 钙钛矿/晶硅异质结叠层太阳电池 》
+

NT$ 449
《 工业运动控制:电机选择、驱动器和控制器应用 》
編輯推薦:
★构建模拟电路全流程设计的完整知识、实践体系★掌握从原理分析、芯片设计、版图技巧到工程实践的工作能力本书搭建了模拟集成电路设计的完整知识体系。提供了模拟集成电路全流程设计的理论与实践指导。帮助读者全面了解和掌握模拟集成电路设计的理论与方法。不仅包括从器件版图结构原理到芯片设计的完整流程,而且还对集成电路设计中重要的实际问题进行了分析和讨论,以及包括设计流程有关的背景知识和重要理论分析,同时配有相关的设计训练,包括具体案例和EDA软件的操作与使用方法,使读者具备直接从事CMOS模拟集成电路设计工作的基本能力。
內容簡介:
本书理论与实践并重,为读者提供CMOS模拟集成电路全流程设计的理论与实践指导,以及与设计流程有关的背景知识和重要理论分析,同时配有相关的设计训练,包括具体案例和EDA 软件的操作与使用方法。本书搭建完整的知识体系,帮助读者全面了解和掌握模拟集成电路设计的理论与方法。本书不仅包括从器件版图结构原理到芯片设计的完整流程,而且还对集成电路设计中重要的实际问题进行了分析和讨论,使读者得到完整的理论与实践指导,从而具备直接从事CMOS 模拟集成电路设计工作的基本能力。本书可为集成电路行业从业人员提供参考,同时也可以供相关专业学生学习使用。
關於作者:
李金城北京交通大学副教授,中国科学院微电子所博士,清华大学电子系博士后,长期从事集成电路教学和科研工作,在模拟集成电路设计和数字集成电路设计领域都具有丰富的教学和实践经验。主要研究领域包括混合信号集成电路设计、卫星导航芯片设计。主持和参加了多项国家自然基金项目,并拥有多项发明专利。
目錄
前言第1章 CMOS模拟集成电路设计概述11.1  CMOS 模拟集成电路设计的重要性与挑战 11.2  CMOS 模拟集成电路设计流程简介 21.3  如何学好模拟集成电路设计 21.4  的shell 命令和vi 基础 31.5  本章小结 8知识点巩固练习题 8第2 章  CMOS 器件与原理图输入 102.1  半导体与CMOS 工艺 102.2  MOS 管 152.3  CMOS 电阻 192.4  CMOS 电容 252.5  CMOS 电感 302.6  CMOS 二极管 312.7  CMOS 双极晶体管 332.8  CMOS 工艺PDK 352.9  有源负载共源极放大器原理图输入 362.10  Library、Cell 和View 462.11  symbol view 的自动生成方法 482.12  schematic entry 注意事项 522.13  本章小结 53知识点巩固练习题 53第3 章  Spice 原理与Cadence 仿真 543.1  Spice 简介 543.2  Spice 器件模型 553.3  Spice 基本语法举例分析 553.4  Spice 文件结构 583.5  静态工作点仿真(.op)与直流扫描仿真(.dc) 593.6  直流二重扫描与MOS 管I-V 特性曲线 673.7  瞬态仿真(.tran) 723.8  交流仿真(.ac)和常用波形操作技术 803.9  工艺角仿真和波形显示方法 883.10  温度扫描与带隙参考源入门 963.11  PVT 仿真 1173.12  蒙特卡罗分析 1233.13  噪声原理与噪声分析(.noise) 1283.14  Spice 仿真收敛问题 1383.15  本章小结 140知识点巩固练习题 140第4 章  版图基本操作与技巧 1424.1  元件例化与单层显示 1424.2  打散Pcell 分析图层属性 1464.3  画矩形和多边形 1504.4  移动、复制、旋转与镜像翻转 1514.5  拉伸与切割 1524.6  精确尺寸与严格对齐 1534.7  打孔与跨层画线 1614.8  保护环原理与Multipart Path 自动画法 1634.9  合并与组建cell 1744.10  Edit in Place 1764.11  版图操作综合练习 1774.12  本章小结 180知识点巩固练习题 181第5 章  版图设计、验证与后仿真 1835.1  版图设计规则 1835.2  版图平面规划与布局布线 1865.3  CS_stage 版图设计 1885.4  CS_stage DRC 1905.5  CS_stage LVS 1955.6  CS_stage RCX/PEX 2015.7  CS_stage 后仿真 2095.8  CS_stage 版图的导出与导入 2145.9  本章小结 216知识点巩固练习题 216第6 章  版图设计的重要问题与优化处理方法 2186.1  金属电迁移与电压降 2186.2  静电放电 2196.3  闩锁效应 2216.4  天线效应 2236.5  金属密度和多晶硅密度 2246.6  浅槽隔离及其扩散区长度效应和扩散区间距效应 2256.7  倾斜角度离子注入与阴影效应 2266.8  阱邻近效应 2276.9  栅间距效应 2276.10  版图匹配 2286.11  源漏共用与棒图 2406.12  版图优化的设计原则与方法 2436.13  版图设计的可制造性设计 2456.14  本章小结 247知识点巩固练习题 247第7 章  IO Pad 2497.1  钝化窗口与Bonding 2497.2  IO Pad 结构 2507.3  Pad 库 2517.4  Padframe 2577.5  芯片封装 2597.6  本章小结 260知识点巩固练习题 260第8 章  差分运算放大器原理与全流程设计案例 2628.1  共源极放大器分析基础 2628.2  差分运算放大器结构分析 2708.3  相位裕度与密勒补偿 2748.4  gm、W/L 及μnCOX 的计算 2828.5  运算放大器主要性能指标 2918.6  折叠式共源共栅放大器电路设计与仿真 3128.6.1  设计指标 3128.6.2  电路结构规划 3128.6.3  手工计算 3148.6.4  原理图输入与仿真 3198.6.5  PVT 仿真与优化 3308.6.6  其他设计指标的仿真 3368.7  二级折叠式共源共栅放大器版图设计与后仿真 3598.7.1  器件形状调整与局部版图单元划分 3598.7.2  单器件版图单元设计 3608.7.3  匹配器件版图单元设计 3648.7.4  主体单元布局与布线 3698.7.5  功能模块版图单元设计 3708.7.6  版图的后处理 3738.7.7  寄生参数提取与后仿真 3748.8  本章小结 375知识点巩固练习题 376第9 章  四运放芯片设计与COB 封装测试 3779.1  Padframe 规划与顶层电路设计 3779.2  创建Pad 版图、符号图和电路图 3799.3  Padframe 版图设计与验证 3879.4  整体芯片版图搭建、验证与后仿真 3909.5  MPW 流片与封装测试 3979.6  本章小结 401知识点巩固练习题 401参考文献 402参考答案 403
內容試閱
集成电路产业是信息技术产业的核心,是支撑经济社会发展和保障国家安全的战略性、基础性和先导性产业。加快推进集成电路产业发展,对转变经济发展方式、保障国家安全、提升综合国力具有重大战略意义。发展集成电路产业已上升为国家战略,拥有强大的集成电路产业和领先的技术,已成为实现科技强国、产业强国的关键标志。集成电路可以大体划分为模拟集成电路和数字集成电路两大类,它们既相互独立,又相辅相成,在电路系统中都发挥着不可替代的作用。随着集成电路工艺水平的提高,数字集成电路的信号处理能力越来越强,大有“一统天下”的趋势,但是自然界中的信号是模拟信号,人们能够感知的信号也是模拟信号,数字电路无法直接处理,必须通过模拟电路将其进行模-数、数-模转换,所以模拟集成电路将会伴随着数字集成电路一直存在下去。模拟集成电路的重要作用不只限于模-数、数-模转换,还包括放大、滤波、存储和电源管理等很多方面,而且数字集成电路内部也必须由很多模拟电路进行辅助。另外,虽然数字集成电路设计过程中的自动化程度很高,但其所依靠的标准逻辑单元和输入/输出单元等器件也是用模拟集成电路的技术实现的。因此,可以说没有模拟集成电路设计,就没有数字集成电路设计,而且无论集成电路工艺发展到哪个工艺节点,模拟集成电路设计的基本理论与方法都不会过时。本书各章将以CMOS模拟集成电路设计流程为主线,讲述CMOS集成电路工艺与器件、Spice原理与仿真、工艺角与PVT仿真、版图设计技术与常用技巧以及DRC、LVS、RCX/PEX和后仿真。同时在此基础上介绍了一个四运放芯片设计案例,内容涵盖了共源放大器原理、MOS器件参数获取方法、运算放大器基本结构分析、运算放大器设计指标计算与仿真、版图设计与验证、寄生参数提取与后仿真、芯片整体规划、Padframe搭建和芯片整体版图设计与验证,以及MPW流片、封装与测试等全部内容,充分引导读者完成一个完整的CMOS模拟集成电路设计流程。同时,本书电路图形符号形式与软件保持一致。CMOS模拟集成电路有几个特殊的专有问题需要考虑,它们对电路的性能和可靠性至关重要,其中主要包括金属电迁移(ElectroMigration,EM)、电压降(IR Drop)、静电放电(Electro-Static Discharge,ESD)、闩锁(Latch-up)、保护环(Guardring)和版图匹配(Layout Matching)等,以及与工艺相关的沟道效应(Channelling Effect)、阴影效应(Shadowling Effect)、阱邻近效应(Well Proximity Effect,WPE)和浅沟槽隔离(ShallowTrench Isolation,STI)等对版图匹配的影响。本书用专门的章节对上述问题进行了讨论,并给出了常用的解决方案,以帮助读者避免因此类问题造成的设计缺陷和电路隐患。本书的章节安排涵盖原理图输入到后仿真,是一个十分完整的设计流程。对流程中的每个设计步骤先介绍原理,再结合案例给出EDA软件的操作方法,具有完整的可操作性。带隙参考源和运算放大器是学习模拟集成电路设计的基础,书中用较大的篇幅对其进行了原理性分析、设计和仿真,努力做到重点突出,理论与实践并重。本书内容由浅入深,力求把复杂问题简单化,并且为了便于理解和记忆,对很多重要公式、方法和结论等进行了分类和归纳。同时为了减少在学习过程中对文献的考证量,并降低对集成电路设计先修课程的依赖程度,本书对相应的背景知识和基本原理都进行了介绍,使各部分内容独立成章,自成体系。学习和完成每章中的实验,并经过反复实践和练习后,读者将能够熟练地使用EDA软件,独立完成CMOS模拟集成电路的整个流程。电路理论部分可以使读者掌握带隙参考源和运算放大器的基本电路结构和工作原理,能够对带隙参考源进行温度系数仿真,能够对运算放大器进行单位增益带宽、相位裕度,以及其他重要设计指标的仿真,并可根据仿真结果对电路进行调整和优化。通过本书中的四运放芯片设计案例,读者可以了解芯片的设计、流片、封装和测试的基本过程,并掌握CMOS模拟集成电路从原理图到芯片的整个过程。学习本书一定要理论与实践并重,对书中各章的实验要亲自动手实践,只有这样才能真正掌握CMOS模拟集成电路全流程设计的理论与方法,并能熟练地使用EDA软件完成各个设计步骤。通过动手实践不仅能建立感性认识,提高熟练程度,而且还能提高职业敏感性,减少常见的操作失误,避免常见错误,同时亲身感受设计过程中可能出现的困难和问题,也能有效提高设计实践的自信心。另外,希望读者学习本书时要反复实践,不仅要学会使用EDA软件完成整个设计流程,而且还要做到脱离书本和熟练使用,以便为今后专注于电路理论深造和电路设计优化打下坚实的基础。如果连基本流程都没走过或者走不通,创新与优化根本无从谈起,一切都只是纸上谈兵,面对实际项目依然是一个新手,在设计过程中会错误百出,失误不断,工作效率低下,导致项目进展缓慢,干劲和热情也可能随之消失。本书可以作为集成电路专业高年级本科生和研究生的学习参考书,有效地把完整的设计流程和系统的理论体系融合起来,帮助读者在理论和实践方面共同提高。由于目前我国集成电路领域不仅人才严重短缺,而

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.