登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』EDA技术与Verilog HDL

書城自編碼: 3615715
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 王金明
國際書號(ISBN): 9787302574323
出版社: 清华大学出版社
出版日期: 2021-04-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:NT$ 400

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
背影2
《 背影2 》

售價:NT$ 386.0
她的罪名
《 她的罪名 》

售價:NT$ 279.0
巨变与突围:碰撞中的清帝国:1644—1840
《 巨变与突围:碰撞中的清帝国:1644—1840 》

售價:NT$ 437.0
变态心理揭秘
《 变态心理揭秘 》

售價:NT$ 279.0
非洲三万里(2024版)
《 非洲三万里(2024版) 》

售價:NT$ 381.0
不思而美:一个人的心灵简史
《 不思而美:一个人的心灵简史 》

售價:NT$ 325.0
减压七处方
《 减压七处方 》

售價:NT$ 314.0
成为作家
《 成为作家 》

售價:NT$ 269.0

編輯推薦:
(1) 按器件软件语言案例展开,内容由浅入深,举例恰当丰富,富有启发性。
(2) 以Vivado、ModelSim典型软件为工具,以Verilog-1995和Verilog-2001两个语言标准为依据,以应用为驱动,从具体案例到一般方法,由浅入深阐述数字设计的思想与方法。
(3) 注重实践能力和创新能力培养,讲解通俗易懂,以EGO1口袋实验板作为目标板,架设从理论到工程实践的桥梁,便于自主学习和实验验证。
(4) 设计案例经过优选,具有典型性和趣味性,便于基于案例或者基于情景导向的教学模式的实施。
(5) 提供电子教学课件和设计源代码。
內容簡介:
本书根据EDA课程教学要求,以提高数字设计能力为目标,系统阐述FPGA设计开发的相关知识,主要内容包括EDA技术概述、FPGACPLD器件结构、Verilog硬件描述语言及设计案例等。全书以Vivado、ModelSim软件为工具,以Verilog-1995和Verilog-2001标准为依据,以可综合的设计为重点,以EGO1口袋实验板作为目标板,通过诸多精选设计案例,系统阐述数字系统设计方法与设计思想,由浅入深地介绍Verilog工程开发的手段与技能。 本书可作为电子、通信、微电子、信息、电路与系统、通信与信息系统及测控技术与仪器等专业本科生和研究生的教学用书,也可供从事电路设计和系统开发的工程技术人员阅读参考。
關於作者:
王金明,博士,陆军工程大学副教授、硕导。2013年获军队院校育才奖银奖;2014年由国家留学基金委资助,在美国威斯康星大学麦迪逊分校访学1年。曾获军队级教学成果二等奖1项;获军队科技进步一等奖1项,军队科技进步二等奖3项,军队科技进步三等奖5项。获国家发明专利授权2项,获软件著作授权1项;发表论文60余篇,其中SCI、EI收录30余篇。主编教材多部,其中《EDA技术与VHDL设计》(第1~2版)入选十一五国家级规划教材和十二五国家级规划教材,《数字系统设计与Verilog HDL》(第1~7版)发行近20万册。指导本科生参加全国大学生电子设计竞赛,获全国一等奖、二等奖多项。
目錄
第1章EDA技术概述
1.1EDA技术及其发展
1.2Topdown设计与IP核复用
1.2.1Topdown设计
1.2.2Bottomup设计
1.2.3IP复用技术与SoC
1.3EDA设计的流程
1.3.1设计输入
1.3.2综合
1.3.3布局布线
1.3.4时序分析与时序约束
1.3.5功能仿真与时序仿真
1.3.6编程与配置
1.4常用的EDA工具软件
1.5EDA技术的发展趋势
习题1
第2章FPGACPLD
2.1PLD概述
2.1.1PLD的发展历程
2.1.2PLD的分类
2.2PLD的基本原理与结构
2.2.1PLD的基本结构
2.2.2PLD电路的表示方法
2.3低密度PLD的原理与结构
2.4CPLD的原理与结构
2.4.1宏单元结构
2.4.2CPLD的结构
2.5FPGA的原理与结构
2.5.1查找表结构
2.5.2FPGA的结构
2.5.3Artix7系列FPGA
2.6FPGACPLD的编程元件
2.7边界扫描测试技术
2.8FPGACPLD的编程与配置
2.8.1在系统可编程
2.8.2Artix7器件的配置
2.9Xilinx的FPGA器件
2.10FPGACPLD的发展趋势
习题2
第3章Vivado使用指南
3.1Vivado流水灯设计
3.1.1流水灯设计输入
3.1.2行为仿真
3.1.3综合与引脚的约束
3.1.4生成比特流文件并下载
3.1.5将配置数据烧写至Flash中
3.2IP核的创建和封装
3.3基于IP集成的计数器设计
3.4Vivado的综合策略与优化设置
习题3
第4章Verilog语言初步
4.1Verilog模块的结构
4.2Verilog基本电路设计
4.2.1Verilog组合电路设计
4.2.2Verilog时序电路设计
4.3Verilog语言要素
4.4常量
4.4.1整数
4.4.2实数
4.4.3字符串
4.5数据类型
4.5.1net型
4.5.2variable型
4.6参数
4.6.1参数parameter
4.6.2Verilog2001中的参数声明
4.6.3参数的传递
4.6.4关键字localparam
4.7向量
4.8运算符
习题4
第5章Verilog语句语法
5.1过程语句
5.1.1always过程语句
5.1.2initial过程语句
5.2块语句
5.2.1串行块beginend
5.2.2并行块forkjoin
5.3赋值语句
5.3.1持续赋值与过程赋值
5.3.2阻塞赋值与非阻塞赋值
5.4条件语句
5.4.1ifelse语句
5.4.2case语句
5.5循环语句
5.5.1for语句
5.5.2repeat、while、forever语句
5.6编译指示语句
5.7任务与函数
5.7.1任务
5.7.2函数
5.8Verilog2001语言标准
5.8.1Verilog2001改进和增强的语法结构
5.8.2属性及PLI接口
习题5
第6章Verilog设计的层次与风格
6.1Verilog设计的层次
6.2门级结构描述
6.2.1Verilog门元件
6.2.2门级结构描述
6.3数据流描述与行为描述
6.4不同描述风格的设计
6.4.1半加器设计
6.4.21位全加器设计
6.4.3加法器的级联
6.5多层次结构电路的设计
6.5.1模块例化
6.5.2用parameter进行参数传递
6.5.3用defparam进行参数重载
6.6Verilog组合逻辑设计
6.7Verilog时序逻辑设计
6.8三态逻辑设计
习题6
第7章Verilog有限状态机设计
7.1有限状态机
7.2有限状态机的Verilog描述
7.2.1用三个always块描述
7.2.2用两个过程描述
7.2.3单过程描述方式
7.3状态编码
7.3.1常用的编码方式
7.3.2状态编码的定义
7.4有限状态机设计要点
7.4.1复位和起始状态的选择
7.4.2多余状态的处理
7.5有限状态机应用实例
7.5.1用有限状态机控制彩灯
7.5.2用有限状态机控制AD采样
习题7
第8章Verilog驱动常用IO外设
8.144矩阵键盘
8.2数码管
8.3标准PS2键盘
8.4字符液晶
8.5汉字图形点阵液晶
8.6VGA显示器
8.6.1VGA显示原理与时序
8.6.2VGA彩条信号发生器
8.6.3VGA图像显示与控制
8.7乐曲演奏电路
习题8
第9章Verilog设计进阶
9.1设计的可综合性
9.2流水线设计技术
9.3资源共享
9.4阻塞赋值与非阻塞赋值
9.5加法器设计
9.5.1行波进位加法器
9.5.2超前进位加法器
9.5.3流水线加法器
9.6乘法器设计
9.6.1并行乘法器
9.6.2布斯乘法器
9.6.3查找表乘法器
9.7奇数分频与小数分频
9.7.1奇数分频
9.7.2半整数分频
9.7.3小数分频
习题9
第10章Verilog设计实例
10.1脉宽调制与步进电动机驱动
10.1.1PWM信号
10.1.2用PWM驱动蜂鸣器
10.1.3用PWM驱动步进电动机
10.2超声波测距
10.3整数开方运算
10.4频率测量
10.5Cordic算法及其实现
10.5.1Cordic算法原理
10.5.2Cordic算法的实现
10.6UART异步串口通信
10.7蓝牙通信
10.8用XADC实现模数转换
10.8.17系列FPGA片内集成ADC概述
10.8.2XADC的使用
习题10
第11章Verilog Test Bench仿真
11.1系统任务与系统函数
11.2用户自定义元件
11.2.1组合电路UDP元件
11.2.2时序逻辑UDP元件
11.3延时模型的表示
11.3.1时间标尺定义`timescale
11.3.2延时的表示与延时说明块
11.4测试平台
11.5组合和时序电路的仿真
11.6ModelSim SE仿真实例
11.6.1图形界面进行功能仿真
11.6.2命令行方式进行功能仿真
11.6.3时序仿真
习题11

附录AVerilog HDLIEEE Std 1364关键字
附录BEGO1开发板
参考文献
前言EDA技术是电子信息类专业的一门重要的专业基础课程,在教学、科研及大学生电子设计竞赛等活动中起着非常重要的作用,成为电子信息类本科生和研究生必须掌握的基本技能。随着教改的深入,对EDA课程教学的要求也不断提高,必须对教学内容不断更新和优化,与时俱进,以与EDA技术的快速发展相适应。
当前的EDA技术课程的教学与实践呈现出如下一些特点: 首先是很多相关联课程的教学都或多或少地融入了EDA技术,比如数字逻辑电路、计算机组成原理、计算机接口技术、数字通信技术、嵌入式系统等课程的教学和实践,均会不同程度地采用EDA及FPGA设计技术。因此,EDA技术成为上述课程的基础,怎样打牢基础以及如何与上述课程在教学内容上进行区分和衔接成为相关教师需要思考的问题; 其次是开放式、自主式学习已成为EDA教学的主流,EDA教学的资源越来越丰富,网络上相关的慕课和教学视频越来越多,学生的学习不仅限于课堂,在此背景下,口袋实验板适应了教学的需要,受到越来越多师生的欢迎。FPGA口袋实验板便携易用,资源丰富,学生可随时随地进行设计与验证,非常有利于学生自主学习能力和创新实践能力的培养。EDA技术是电子信息类专业的一门重要的专业基础课程,在教学、科研及大学生电子设计竞赛等活动中起着非常重要的作用,成为电子信息类本科生和研究生必须掌握的基本技能。随着教改的深入,对EDA课程教学的要求也不断提高,必须对教学内容不断更新和优化,与时俱进,以与EDA技术的快速发展相适应。
当前的EDA技术课程的教学与实践呈现出如下一些特点: 首先是很多相关联课程的教学都或多或少地融入了EDA技术,比如数字逻辑电路、计算机组成原理、计算机接口技术、数字通信技术、嵌入式系统等课程的教学和实践,均会不同程度地采用EDA及FPGA设计技术。因此,EDA技术成为上述课程的基础,怎样打牢基础以及如何与上述课程在教学内容上进行区分和衔接成为相关教师需要思考的问题; 其次是开放式、自主式学习已成为EDA教学的主流,EDA教学的资源越来越丰富,网络上相关的慕课和教学视频越来越多,学生的学习不仅限于课堂,在此背景下,口袋实验板适应了教学的需要,受到越来越多师生的欢迎。FPGA口袋实验板便携易用,资源丰富,学生可随时随地进行设计与验证,非常有利于学生自主学习能力和创新实践能力的培养。
本书以Vivado工具作为主要设计平台,以Xilinx的FPGA芯片作为目标器件,以Verilog作为设计语言,选取EGO1口袋实验板作为目标开发板,结合大量精选设计案例,系统讲解EDA设计有关知识,适合课堂教学,也便于学生自主学习,并随时随地进行设计和验证。本书的定位是作为EDA技术、FPGA开发或数字系统设计方面的教材,在编写的过程中,遵循了重视基础、面向应用的原则,力图在有限的篇幅内,将EDA技术与FPGA设计相关的知识简明扼要、深入浅出地进行阐述,贴近教学实践。
全书共11章。第1章为EDA技术概述; 第2章介绍FPGACPLD器件的结构与配置; 第3章介绍Vivado集成开发工具的使用方法; 第4、5章系统介绍Verilog的语法、语句; 第6章讨论Verilog设计的层次与风格; 第7章是有关有限状态机的内容; 第8章列举Verilog控制常用IO外设的案例; 第9章讨论设计优化的问题; 第10章是较为复杂数字逻辑系统的设计举例; 第11章是Verilog仿真的内容,并介绍用ModelSim SE进行功能、时序仿真的过程; 此外在附录中对EGO1开发板做了介绍。
感谢依元素科技有限公司工程师团队的大力支持,感谢美国威斯康星大学麦迪逊分校的Yu Hen Hu教授在作者访学期间在教学上给予的无私帮助; 参加本书编写的还有朱莉莉、王婧菡、王兰皊等,在此一并表示诚挚的感谢。
由于FPGA芯片和EDA软件的不断更新换代,同时因编著者时间和精力所限,书中不免存在疏漏与错误之处,希望读者和同行给予批评指正。
作者
2021年1月
內容試閱
EDA技术是电子信息类专业的一门重要的专业基础课程,在教学、科研及大学生电子设计竞赛等活动中起着非常重要的作用,成为电子信息类本科生和研究生必须掌握的基本技能。随着教改的深入,对EDA课程教学的要求也不断提高,必须对教学内容不断更新和优化,与时俱进,以与EDA技术的快速发展相适应。
当前的EDA技术课程的教学与实践呈现出如下一些特点: 首先是很多相关联课程的教学都或多或少地融入了EDA技术,比如数字逻辑电路、计算机组成原理、计算机接口技术、数字通信技术、嵌入式系统等课程的教学和实践,均会不同程度地采用EDA及FPGA设计技术。因此,EDA技术成为上述课程的基础,怎样打牢基础以及如何与上述课程在教学内容上进行区分和衔接成为相关教师需要思考的问题; 其次是开放式、自主式学习已成为EDA教学的主流,EDA教学的资源越来越丰富,网络上相关的慕课和教学视频越来越多,学生的学习不仅限于课堂,在此背景下,口袋实验板适应了教学的需要,受到越来越多师生的欢迎。FPGA口袋实验板便携易用,资源丰富,学生可随时随地进行设计与验证,非常有利于学生自主学习能力和创新实践能力的培养。
本书以Vivado工具作为主要设计平台,以Xilinx的FPGA芯片作为目标器件,以Verilog作为设计语言,选取EGO1口袋实验板作为目标开发板,结合大量精选设计案例,系统讲解EDA设计有关知识,适合课堂教学,也便于学生自主学习,并随时随地进行设计和验证。本书的定位是作为EDA技术、FPGA开发或数字系统设计方面的教材,在编写的过程中,遵循了重视基础、面向应用的原则,力图在有限的篇幅内,将EDA技术与FPGA设计相关的知识简明扼要、深入浅出地进行阐述,贴近教学实践。
全书共11章。第1章为EDA技术概述; 第2章介绍FPGACPLD器件的结构与配置; 第3章介绍Vivado集成开发工具的使用方法; 第4、5章系统介绍Verilog的语法、语句; 第6章讨论Verilog设计的层次与风格; 第7章是有关有限状态机的内容; 第8章列举Verilog控制常用IO外设的案例; 第9章讨论设计优化的问题; 第10章是较为复杂数字逻辑系统的设计举例; 第11章是Verilog仿真的内容,并介绍用ModelSim SE进行功能、时序仿真的过程; 此外在附录中对EGO1开发板做了介绍。
感谢依元素科技有限公司工程师团队的大力支持,感谢美国威斯康星大学麦迪逊分校的Yu Hen Hu教授在作者访学期间在教学上给予的无私帮助; 参加本书编写的还有朱莉莉、王婧菡、王兰皊等,在此一并表示诚挚的感谢。
由于FPGA芯片和EDA软件的不断更新换代,同时因编著者时间和精力所限,书中不免存在疏漏与错误之处,希望读者和同行给予批评指正。
作者
2021年1月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.