登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』模拟电路设计:分立与集成

書城自編碼: 3065497
分類: 簡體書→大陸圖書→工業技術電工技術
作者: [美]赛尔吉欧·佛朗歌[Sergio Franco]
國際書號(ISBN): 9787111577812
出版社: 机械工业出版社
出版日期: 2017-09-01
版次: 1
頁數/字數: 533/484000
書度/開本: 16开 釘裝: 平装

售價:NT$ 857

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
“一带一路”沿线国家殡葬文化遗产名录和谱系(国外部分·东北亚卷)
《 “一带一路”沿线国家殡葬文化遗产名录和谱系(国外部分·东北亚卷) 》

售價:NT$ 941.0
AIGC+机器人:以产业的视角读懂人工智能的未来
《 AIGC+机器人:以产业的视角读懂人工智能的未来 》

售價:NT$ 498.0
示人以真:健康组织这样开展业务
《 示人以真:健康组织这样开展业务 》

售價:NT$ 386.0
格林童话:1812/1815初版合集(权威全译本)
《 格林童话:1812/1815初版合集(权威全译本) 》

售價:NT$ 549.0
思想会·军力:现代战争的胜败解释
《 思想会·军力:现代战争的胜败解释 》

售價:NT$ 549.0
边界之外:海外社会研究(第一辑)
《 边界之外:海外社会研究(第一辑) 》

售價:NT$ 386.0
好销售,不违心:在你的舒适区内拿订单
《 好销售,不违心:在你的舒适区内拿订单 》

售價:NT$ 330.0
阿富汗史:骑驰在风暴中的国度
《 阿富汗史:骑驰在风暴中的国度 》

售價:NT$ 549.0

建議一齊購買:

+

NT$ 994
《 模拟电子系统设计指南(基础篇):从半导体、分立元件到ADI集成电路的分析与实现 》
+

NT$ 713
《 信号、系统及推理 》
+

NT$ 2235
《 模拟电路设计手册 》
+

NT$ 1071
《 模拟电子技术基础:系统方法 》
+

NT$ 988
《 模拟电路设计:分立与集成(英文版)(涵盖双极型和CMOS工艺、分立和集成电路设计、深度半导体理论知识) 》
+

NT$ 1125
《 电子电路原理(原书第7版,经典教材,第7版以电子电路的整体概念为视点,更加重视现代集成电路技术和软件仿真技术) 》
內容簡介:
本书以半导体物理理论为基础,注重阐述模拟电路技术和BiCMOS技术,注重物理概念的诠释,强调模拟电路的分立和和集成设计。全书主要内容有:pn结二极管、双极型晶体管、MOS场效应晶体管、模拟集成电路构建、模拟集成电路、频率和时间响应、反馈、稳定性和噪声。本书适合作为电类专业本科生和相关专业的模拟电路教材。
目錄
目录
出版者的话
译者序
前言
第1章 二极管和pn结1
 1.1 理想二极管2
 1.2 二极管的基本应用7
 1.3 运算放大器与二极管的应用14
 1.4 半导体18
 1.5 平衡态的pn结23
 1.6 空间电荷区外接偏置的影响26
 1.7 pn结二极管方程28
 1.8 反向偏置的pn结32
 1.9 正向偏置二极管的特性34
 1.10 pn结二极管电路的直流分析37
 1.11 pn结二极管电路的交流分析43
 1.12 击穿区工作状态49
 1.13 直流电源54
 总结57
 附录1A58
 参考文献59
 习题59
第2章 双极型晶体管73
 2.1 BJT的物理结构75
 2.2 BJT的基本工作原理77
 2.3 BJT的i-v特性85
 2.4 工作区与BJT模型89
 2.5 作为放大器开关的BJT98
 2.6 BJT的小信号工作状态102
 2.7 放大器的BJT偏置设计109
 2.8 基本双极型电压放大器114
 2.9 双极型电压和电流缓冲器121
 附录2A129
 参考文献131
 习题131
第3章 MOSFET146
 3.1 MOSFET的物理结构147
 3.2 阈值电压Vt149
 3.3 n沟道MOSFET的特性155
 3.4 MOSFET的i-v特性161
 3.5 MOSFET在阻性直流电路中的应用169
 3.6 MOSFET作为放大器开关178
 3.7 MOSFET的小信号工作状态183
 3.8 基本MOSFET电压放大器188
 3.9 MOSFET电压和电流缓冲器195
 3.10 CMOS反相器放大器198
 附录3A203
 参考文献205
 习题205
第4章 模拟集成电路单元电路218
 4.1 集成电路设计注意事项219
 4.2 BJT的特性和改进模型224
 4.3 MOSFET特性及其改进模型233
 4.4 达林顿、共源共栅和级联结构242
 4.5 差分对252
 4.6 差分对的共模抑制比257
 4.7 差分对的输入失调电压电流262
 4.8 电流镜266
 4.9 带有源负载的差分对273
 4.10 双极型输出级281
 4.11 CMOS输出级286
 附录4A289
 参考文献290
 习题290
第5章 模拟集成电路311
 5.1 μA741运算放大器311
 5.2 两级CMOS运算放大器319
 5.3 折叠式共源共栅CMOS运算放大器324
 5.4 电压比较器327
 5.5 电流和电压基准332
 5.6 电流模集成电路340
 5.7 全差分运算放大器346
 5.8 开关电容电路351
 附录5A359
 参考文献360
 习题360
第6章 频率和时间响应368
 6.1 高频BJT模型369
 6.2 高频MOSFET模型374
 6.3 共射共源放大器频率响应377
 6.4 差分放大器的频率响应384
 6.5 双极型电压和电流缓冲器388
 6.6 MOS电压和电流缓冲器393
 6.7 开路时间常数分析397
 6.8 共源共栅放大器的频率响应403
 6.9 运算放大器频率和瞬态响应407
 6.10 二极管开关瞬态414
 6.11 BJT开关瞬态417
 6.12 CMOS门电路和电压比较器瞬态响应423
 附录6A431
 参考文献435
 习题436
第7章 反馈、稳定性和噪声446
 7.1 负反馈基础447
 7.2 反馈对失真、噪声、带宽的影响451
 7.3 反馈结构和闭环IO电阻457
 7.4 实际结构和负载效应462
 7.5 反馈比分析478
 7.6 布莱克曼阻抗公式和注入方法486
 7.7 负反馈电路的稳定性490
 7.8 主极点补偿497
 7.9 单片运算放大器的频率补偿501
 7.10 噪声510
 参考文献521
 习题521
內容試閱
前言这本教材是为那些学习电子工程专业且以模拟电子学为自身事业的学生而准备的。模拟集成电路设计者、产品工艺可靠性工程师、测试测试开发工程师,以及模拟应用市场用户支持工程师的需求量总是很大的。本书是我多年以来在旧金山州立大学任教经验的成果,在那里我潜心培养了成百上千位被硅谷高薪聘用的学生,他们分散在各个不同的模拟电路岗位上。这里介绍本书的三个重要特点。
●同时包括双极型和CMOS技术。虽然数字电子学中CMOS技术占据主导地位,模拟电子学同时依靠CMOS和双极型,但后者是高性能模拟电路的选择以及BiCMOS技术的基础。
●同时包括分立和集成设计。虽然现今一个模拟系统的最终形式有可能是集成电路类型,但测试和应用通常要求一些辅助功能,例如调节和界面互连,这些最好用专门的分立设计方法来实现。(任何熟悉这项工作且被公认为模拟应用测试测量领域领导者的人,都将赞同这一点,例如Jim Wlilliams和Robert Pease。)在这方面,BJT有很多现成的分立类型,以适应各种不同的需求,包括实验室内的实用性试验。另外,出于教学需要,在处理复杂集成电路之前先介绍简单的分立电路是明智的。
●适当深度地介绍了半导体理论,以满足工业生产中工程师对这些知识的日常需求。每一种模拟功能总离不开一个物理现象,所以模拟工程师,特别是IC设计者和产品工艺可靠性工程师,需要精通半导体物理知识以使电路在最佳状态运行。
本书结构本书分为两部分。
●第一部分主要介绍二极管(见第1章)、BJT(见第2章)和MOSFET(见第3章)。就这部分而言,它适合作为初级电子学的第一门课。这些内容是按照电子行业科技进展的顺序排列的。然而,由于第2章和第3章是分开的,希望交换BJT和MOSFET教学顺序的教师也可以轻易实现。无论顺序如何,第3章都可以比第1章和第2章更快地讲授完毕,因为学生已经学习了直流偏置和大小信号模型的内容。
●第二部分包括模拟IC单元电路(见第4章)、典型模拟IC(见第5章)、频率和时间响应(见第6章)以及负反馈、稳定性和噪声(见第7章)。这部分适合作为模拟IC分析设计的本科生研究生级的课程。在这部分,BJT和MOSFET通常一起讨论,以区分两者的异同点。
每一章提供了与其主题相关的相当广泛的覆盖范围,所以每一章需要较长篇幅。全部内容超过了通常情况下两学期或者四学期的课程,这为教师在选讲内容上留有余地。而且,作者认为学生在学习第6章中更具挑战性的频率和时间响应之前,需要熟练掌握低频电路。然而,教师可以通过跳过第一部分中的合适主题来更改选讲范围,以更充分地讲授第6章的选定主题。
正如提到的那样,第一部分集中讲授基本晶体管电路,侧重于传统的分立设计方法。从教育学角度来看,先学习单管电路再学习多管系统是合理的,如果在实验室中完成这一部分效果将会更好,因为在那里更容易研究简单电路。实际上,在这个水平的学习中,与计算机仿真相比,实验室可以提供更加有价值的学习环境。分立电路有一个众所周知的缺点,就是需要用到耦合旁路电容,这就增加了一个使学生分散注意力的因素,因为学生想要充分掌握这些电容的功能是很花费时间的。认识到这点,我尝试通过具体例子来阐述电容(见图2.55和图3.60)。
在分立电路之后,本书进入集成电路部分。集成和分立方法在4.1节中进行对照;同时,两者的直观区别在习题4.3中进行了讨论。第二部分先介绍模块的复杂性,再讨论典型模拟IC,再讨论IC动态特性,最后讲述工作在负反馈下的IC,以及稳定性考虑、频率补偿和噪声。这部分是为IC设计者所准备的,但同时适用于所有与制造、测试和应用相关的其他种类工程师。目前数量最多的应用工程师,需要同时精通技术(以做出有根据的选择)和IC内部工作原理(以优化其应用)。本书的目的是在芯片设计能力和印制电路板设计能力之间促成一个平衡。
书中配套的网站为教师提供了习题答案手册和PPT 关于本书教辅资源,只有使用本书作为教材的教师才可以申请,需要的教师可向麦格劳·希尔教育出版公司北京代表处申请,电话:010-5799 76187600,传真:010-5957 5582,电子邮件:instructorchina@mheducation.com。——编辑注,以及一系列有用的网站链接和勘误表。对于任何可能的勘误提醒,作者表示感激。
可从www.CourseSmart.com上得到本书电子版。利用CourseSmart网站可以节省可观的纸版教材打印费用,减少对环境的影响,并获得强大的网络学习工具。电子书允许读者进行全文搜索、添加重点和标注,以及与他人分享笔记。CourseSmart所精选的电子书数量是最多的。访问www.CourseSmart.com以了解更多内容并尝试学习一个样章。
动机在试用了一些其他的教材后,我决定自己撰写一部,一方面用于回答学生关注的问题,另一方面将自己对怎样更好地为研究生服务的想法付诸实践,这些学生通常都会继续从事电子行业。接下来列出的是学生关注最多的问题。
●需要参照众多的示例,特别是在工作中工程师们每天都会遇到的那些。我精心编写了每一个例题和章后习题,以满足两个大概的需求:一是帮助学生在学习过程中获得对数量级的直观印象(例如例1.8),二是通过一个电路在不同情形或不同复杂度等级下的变化,来加深学生的理解(参见图1.18~图1.20)。在这个方面,我努力强调通过思考和物理直觉来获得一个系统的问题求解方法,而非进行生搬硬套的计算。因为这是物理层面上的理解,而非数学上的处理或是计算机上的仿真,这种理解植根于学生的设计创造力,而这点又是工作中所需求的。本书还包括一些工程师每天都要用到的很有价值的经验法则(参见1.8节和1.9节)。在任意可能的时候,都应该提醒学生运用直觉和物理洞察力来预测数学计算或计算机仿真得到的值,并检测是否与物理实际相符合(关于物理洞察力参见例6.5和图7.93)。
●书中应包含SPICE仿真。本书集成的SPICE部分,既作为教学目标以使学生更直观地理解新概念(参见图4.66),也作为验证工具以处理复杂计算。如果计算结果和仿真结果有显著差别,学生就需要说明可能的原因(见例5.2)。最后,SPICE可用来显示那些对于手工计算而言过于复杂的细微差别(见例6.11)。现在能获得的SPICE版本非常多。与其指定某个特定版本,不如使电路的原理图设计足够简单,这样学生就可以花数分钟在他喜欢的SPICE版本上将电路建立起来。
●对基本半导体概念进行介绍。我所在学校(一所州立大学)的多数毕业生从事各种各样的职位,从IC设计者到产品和可靠性工程师、测试和测试开发工程师,以及应用和用户支持工程师,在这种情况下一个广阔的知识背景远比一种有限的知识专精要重要得多。对半导体物理原理的基本理解是这个背景中的一个整体模块,特别是对将来的产品工程师和可靠性工程师而言。
●顺应现今形象化地面向读者的学习趋势,本书包含了众多的图。多数图由并行排列的两个或多个部分组成,通过不同的电路情况、模型、时间帧或因果关系,来直观显示同一概念的不同方面(参见图1.59)。并且,分析过程中涉及的最为相关的公式都直观地列举出来,这对学生准备课堂测验和考试时尤为有用。在合适的时候,一整组公式会列为表格,以便于比较(参见图3.50)。
我尝试使用原汁原味的教材格式来解决上述这些问题。每一章的开头都有简短的历史背景和动机框架,紧接着是对本章所包含主题的简要概述,其后就是章节本身。每章以各种精挑细选的强调直觉和物理洞察力的习题作为结尾。
内容一瞥第1章开头介绍理想二极管,以此为工具介绍非线性电路和应用。其后是对运算放大器的复习,作为学习各种二极管器件及后面晶体管的铺垫。接着介绍对二极管结进行近似时最通用的物理器件pn结。在对半导体知识进行直观复习后,再详细讨论pn结,并运用经验法则来强调一些工程师在日常工作时会用到的实用知识。熟练掌握pn结对理解随后两章的晶体管物理学是至关重要的。最后,讨论各种常见的二极管应用,通常用PSpice作为辅助教学工具来加深理解。
第2章介绍双极型晶体管(BJT),这是pn结在科技上(和历史上)的发展。像第1章那样,先介绍BJT的物理结构,其后推导出其i-v特性,再介绍大小信号模型和直流偏置,最后是单晶体管放大器和缓冲器的分析与设计。该章介绍的共射极结构通常用作电压放大,而共集电极和共基极结构通常分别用作电压和电流缓冲器。该章的重点是研究BJT作为电阻转换器件时的作用(这也是BJT这样命名的基础)。这种等效转换可以很方便地列成表格,以便后续章节的查阅。
第3章介绍MOSFET,与第2章介绍BJT类似。然而,这两章是互相分开的,所以若有需要两章的顺序可以互换。该章开头详细介绍本征阈值的物理基础,这对那些以后想成为产品、工艺和可靠性工程师的学生很有益。紧接着推导MOSFET的i-v特性,再介绍大小信号模型和直流偏置,最后是单晶体管放大器和缓冲器的分析与设计。该章介绍的共源极结构通常用作电压放大,而共漏极和共栅极结构通常分别用作电压和电流缓冲器。该章还包含了CMOS反相器和基本CMOS逻辑门,计算机工程专业的学生同样能够受益于此(参见图3.44对PSpice噪声容限的解释)。
第4章介绍现今应用最广的IC模块,电路的复杂度上升了一个等级。按照后续章节使用的需要,详略不同地介绍共源共栅结构、差分放大器、全类型电流镜、有源负载和推挽式输出级。在任何可能的时候,同时讨论BJT和MOSFET以呈现这种统一处理的思想,同时也节省了空间和工作量。
第5章运用第4章中的模块来设计典型的双极型、CMOS混合模拟IC,即高增益放大器(如运放)、电压比较器和全差分运放;参考电压源、电流源(如带隙基准源);电流型IC(如跨导体、运算跨导放大器和电流反馈放大器);最后还有开关电容电路。
第6章讨论分立器件的频率和时间响应,再一直延伸至第5章的IC模块等复杂电路。频率分析基于米勒近似以及开环时间常数的知识。pn二极管和BJT的转换时间虽然在工业生产中很重要,但在本书中依然忽略了对它们的具体讨论,转而通过对电荷控制的分析来大致了解一下相关知识。该章还包括了CMOS逻辑门的转换时间讨论,计算机工程专业的学生会从中获益。在这一章中,PSpice被频繁用作验证手工运算的工具。
第7章开头以浅显易懂的方式介绍了前面章节电路中包含的负反馈,从单晶体管的情形一直讨论到运放。而后介绍了二端口网络法和反馈比法,并用各种精心准备的例题对它们进行比较。同时以实用的方式介绍了布莱克曼阻抗公式和注入方法。其后开始介绍运放的稳定性和频率补偿,同时讨论双极型和CMOS型(此处PSpice再次成为最有用的教学工具)。该章还包括对集成电路噪声的学习。在介绍基本噪声特性、分析工具和噪声类型后讨论了二极管和晶体管的噪声模型。最后,运用噪声分析方法分析了典型电路的噪声特性。
对同学们的建议你所学习的电子学课程为你从事电子工程行业打下了基础。这些课程的目的并不仅仅是介绍诸如二极管、晶体管这样的器件,也是为了帮助你建立一个新的思维模式和问题解答方法,这是充满挑战但又有趣的电子工程领域所特有的。大部分的电子工程专业毕业生最终从事工业化生产方面的工作,认识到这一现实,我着重介绍了那些与当今工业化环境最为相关的实用知识。无论你最后成为一个IC设计者、产品工程师、测试测试开发工程师,还是应用或用户支持工程师,你最开始学到的这些电子学课程将会常常在各种情况下派上用场,所以相比于那些常见课程,你最好在这门课程上投入更多的时间和精力,你会受益终身。
虽然二极管和晶体管是高度非线性的器件,但仍有特殊的技巧来分析它们,这些技巧大多在线性电路的课程中就已介绍。在这些预备课程中学习的分析工具在电子学的学习中有着重要的作用,学习它们绝非浪费时间。特别是,在探讨电子学领域时,欧姆定律、基尔霍夫定律(KVL和KCL)、节点环路分析方法、戴维南诺顿定理、叠加原理和运放定律等将继续作为重要的分析工具。
和其他工程学分支一样,电子学解决的是器件和系统这类的物理实体。我们将数学作为理解及预测工作状态和设计新器件的工具,将计算机仿真作为验证工具。任何概念的推导和预测最终都必须经过物理实体的验证,绝不能理所当然地运用。借助数学推导或计算机仿真,运用物理推论来论证概念过程,在整个课程的学习中是最为核心的。
除了精通线性电路分析技巧外,学生应具备基本的微积分知识,例如斜率和曲线包含的面积,以及基本的静电学知识,例如高斯定理以及电场与电势间的关系。同时,在验证人工分析结果时,在预备课程中学习的通过PSpice搭建电路的能力是非常有用的。
致谢许多读者提供了详细的评论和众多有价值的建议。我尽量采纳这些建议,但在出现意见相左的情况下,我只能继续采用自己的想法。对所有提供反馈的读者,我深表感激。我在此特别感谢克莱姆森大学的Stephen Hubbard、艾奥瓦州立大学的Santosh Pandey、北卡罗来纳州立大学的Doona Ginger Yu。最后,我要感谢我的妻子Diana May对我的鼓励和坚定不移的支持。
Sergio Franco旧金山州立大学

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.