登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』数字逻辑电路基础(第2版)

書城自編碼: 2938502
分類: 簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 江国强
國際書號(ISBN): 9787121300738
出版社: 电子工业出版社
出版日期: 2017-01-01
版次: 1 印次: 1
頁數/字數: 268/
書度/開本: 16开 釘裝: 平塑

售價:NT$ 306

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
巨变与突围:碰撞中的清帝国:1644—1840
《 巨变与突围:碰撞中的清帝国:1644—1840 》

售價:NT$ 437.0
变态心理揭秘
《 变态心理揭秘 》

售價:NT$ 279.0
非洲三万里(2024版)
《 非洲三万里(2024版) 》

售價:NT$ 381.0
不思而美:一个人的心灵简史
《 不思而美:一个人的心灵简史 》

售價:NT$ 325.0
减压七处方
《 减压七处方 》

售價:NT$ 314.0
成为作家
《 成为作家 》

售價:NT$ 269.0
工作文化史 古代卷
《 工作文化史 古代卷 》

售價:NT$ 381.0
像亚马逊一样思考
《 像亚马逊一样思考 》

售價:NT$ 442.0

建議一齊購買:

+

NT$ 405
《 网络营销——基础、策略与工具 》
+

NT$ 315
《 大学英语实用翻译(第三版)(大学英语选修课系列教材) 》
+

NT$ 186
《 矩阵分析引论(工科研究生教材.数学系列) 》
+

NT$ 347
《 现代汉语(增订五版 上) 》
+

NT$ 363
《 新编数字逻辑电路习题、实验与实训(第2版) 》
+

NT$ 344
《 新编数字逻辑电路(第2版) 》
內容簡介:
全书共10章,包括数制与编码、逻辑代数和硬件描述语言基础、门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲单元电路、数模和模数转换、半导体存储器和可编程逻辑器件,各章后附有思考题和习题。本书是结合传统数字设计技术与*数字设计技术编写的,书中保留了传统的卡诺图的逻辑化简手段、布尔方程表达式设计方法和相应的中小规模集成电路的堆砌技术等方面内容,新增了以硬件描述语言(HDL)、可编程逻辑器件(PLD)的现代数字电路设计技术方面的内容。书中列举了大量的基于HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器和数字系统设计的实例,供读者参考。每个设计实例都经过了电子设计自动化(EDA)软件的编译和仿真,确保无误。本教材图文并茂、通俗易懂,并配有电子课件和《数字电路学习指导与实验》辅导教材,可作为高等学校工科有关专业的教材和相关工程技术人员的参考书。
關於作者:
江国强,男,桂林电子科技大学教授,长期从事数字逻辑电路、微机原理、EDA技术与应用、SOPC技术与应用等课程的研究生和本科生的教学。2007年荣获美国ALTERA公司的FPGA终身教学成就奖。
目錄
目录

第1章数制与编码(1)
1.1概述(1)
1.1.1模拟电子技术和数字电子
技术(1)
1.1.2脉冲信号和数字信号(1)
1.1.3数字电路的特点(2)
1.2数制及其转换(2)
1.3编码(5)
1.3.1二?十进制编码(5)
1.3.2字符编码(6)
本章小结(7)
思考题和习题(7)
第2章逻辑代数和硬件描述语言
基础(9)
2.1逻辑代数基本概念(9)
2.1.1逻辑常量和逻辑变量(9)
2.1.2基本逻辑和复合逻辑(9)
2.1.3逻辑函数的表示方法(13)
2.1.4逻辑函数的相等(15)
2.2逻辑代数的运算法则(16)
2.2.1逻辑代数的基本公式(16)
2.2.2逻辑代数的基本定理(16)
2.2.3逻辑代数的常用公式(17)
2.2.4异或运算公式(19)
2.3逻辑函数的表达式(19)
2.3.1逻辑函数常用表达式(19)
2.3.2逻辑函数的标准表达式(20)
2.4逻辑函数的简化法(22)
2.4.1逻辑函数简化的意义(22)
2.4.2逻辑函数的公式简化法(23)
2.4.3逻辑函数的卡诺图
简化法(24)
2.5Verilog HDL基础(28)
2.5.1Verilog HDL设计模块的
基本结构(29)
2.5.2Verilog HDL的词法(30)
2.5.3Verilog HDL的语句(36)
2.5.4不同抽象级别的
Verilog HDL模型(42)
本章小结(43)
思考题和习题(43)
第3章门电路(45)
3.1概述(45)
3.2晶体二极管和三极管的
开关特性(46)
3.2.1晶体二极管的开关特性(46)
3.2.2晶体三极管的开关特性(50)
3.3分立元件门(54)
3.3.1二极管与门(54)
3.3.2二极管或门(55)
3.3.3三极管非门(56)
3.3.4复合逻辑门(56)
3.3.5正逻辑和负逻辑(58)
3.4TTL集成门(58)
3.4.1TTL集成与非门(59)
3.4.2TTL与非门的外部特性(60)
3.4.3TTL与非门的主要参数(64)
3.4.4TTL与非门的改进电路(65)
3.4.5TTL其他类型的集成
电路(66)
3.4.6TTL集成电路多余输入端
的处理(68)
3.4.7TTL电路的系列产品(69)
3.5其他类型的双极型集成
电路(69)
3.5.1ECL电路(69)
3.5.2I2L电路(70)
3.6MOS集成门(70)
3.6.1MOS管(70)
3.6.2MOS反相器(72)
3.6.3MOS门(74)
3.6.4CMOS门的外部特性(77)
3.7基于Verilog HDL的门电路
设计(78)
3.7.1用assign语句建模方法
实现门电路的描述(79)
3.7.2用门级元件例化建模方式
来描述门电路(80)
本章小结(81)
思考题和习题(81)
第4章组合逻辑电路(85)
4.1概述(85)
4.1.1组合逻辑电路的结构和
特点(85)
4.1.2组合逻辑电路的分析
方法(85)
4.1.3组合逻辑电路的设计
方法(86)
4.2若干常用的组合逻辑电路(90)
4.2.1算术运算电路(90)
4.2.2编码器(92)
4.2.3译码器(94)
4.2.4数据选择器(98)
4.2.5数值比较器(100)
4.2.6奇偶校验器(102)
4.3组合逻辑电路设计(104)
4.3.1采用中规模集成部件
实现组合逻辑电路(104)
4.3.2基于Verilog HDL的组合
逻辑电路的设计(108)
4.4组合逻辑电路的竞争-冒险
现象(118)
本章小结(120)
思考题和习题(121)
第5章触发器(124)
5.1概述(124)
5.2基本RS触发器(124)
5.2.1由与非门构成的基本
RS触发器(125)
5.2.2由或非门构成的基本
RS触发器(127)
5.3钟控触发器(128)
5.4集成触发器(132)
5.4.1主从JK触发器(132)
5.4.2边沿JK触发器(134)
5.4.3维持-阻塞结构集成
触发器(135)
5.5触发器之间的转换(136)
5.6基于Verilog HDL的触发器
设计(138)
5.6.1基本RS触发器的设计(138)
5.6.2D锁存器的设计(139)
5.6.3D触发器的设计(140)
5.6.4JK触发器的设计(141)
本章小结(142)
思考题和习题(142)
第6章时序逻辑电路(145)
6.1概述(145)
6.2寄存器和移位寄存器(148)
6.2.1寄存器(148)
6.2.2移位寄存器(148)
6.2.3集成移位寄存器(150)
6.3计数器(152)
6.3.1同步计数器的分析(152)
6.3.2异步计数器的分析(155)
6.3.3集成计数器(159)
6.4时序逻辑电路的设计(162)
6.4.1同步计数器的设计(163)
6.4.2异步计数器的设计(166)
6.4.3移存型计数器的设计(169)
6.4.4一般同步时序逻辑电路的
设计(172)
6.5基于Verilog HDL的时序
逻辑电路的设计(174)
6.5.1数码寄存器的设计(174)
6.5.2移位寄存器的设计(176)
6.5.3计数器的设计(177)
6.5.4顺序脉冲发生器的设计(181)
6.5.5序列信号发生器的设计(182)
6.5.6序列信号检测器的设计(184)
本章小结(184)
思考题和习题(185)
第7章脉冲单元电路(188)
7.1概述(188)
7.1.1脉冲单元电路的分类、
结构和波形参数(188)
7.1.2脉冲波形参数的分析
方法(189)
7.1.3555定时器(189)
7.2施密特触发器(191)
7.2.1用555定时器构成施密
特触发器(191)
7.2.2集成施密特触发器(193)
7.3单稳态触发器(194)
7.3.1用555定时器构成单稳态
触发器(194)
7.3.2集成单稳态触发器(195)
7.4多谐振荡器(198)
7.4.1用555定时器构成多谐
振荡器(198)
7.4.2用门电路构成多谐
振荡器(200)
7.4.3石英晶体振荡器(201)
7.4.4用施密特电路构成多谐
振荡器(201)
本章小结(202)
思考题和习题(202)
第8章数模和模数转换(204)
8.1概述(204)
8.2数模(DA)转换(205)
8.2.1DA转换器的结构(205)
8.2.2DA转换器的主要技术
指标(209)
8.2.3集成DA转换器(210)
8.3模数(AD)转换(211)
8.3.1AD转换器的基本原理(212)
8.3.2AD转换器的类型(214)
8.3.3AD转换器的主要技术
指标(218)
8.3.4集成AD转换器(219)
本章小结(220)
思考题和习题(221)
第9章半导体存储器(222)
9.1概述(222)
9.1.1半导体存储器的结构(222)
9.1.2半导体存储器的分类(223)
9.2随机存储器(223)
9.2.1静态随机存储器
(SRAM)(223)
9.2.2动态随机存储器
(DRAM)(224)
9.2.3随机存储器的典型芯片(225)
9.2.4随机存储器的扩展(226)
9.3只读存储器(228)
9.3.1固定ROM(228)
9.3.2可编程只读存储器(229)
9.3.3可擦除可编程只读
存储器(229)
9.3.4ROM的应用(230)
9.3.5可编程逻辑阵列PLA(231)
9.4基于Verilog HDL的存储器
设计(232)
9.4.1RAM设计(232)
9.4.2ROM的设计(234)
本章小结(235)
思考题和习题(236)
第10章可编程逻辑器件(237)
10.1PLD的基本原理(237)
10.1.1PLD的分类(237)
10.1.2阵列型PLD(239)
10.1.3现场可编程门阵列
(FPGA)(243)
10.1.4基于查找表(LUT)
的结构(245)
10.2PLD的设计技术(247)
10.2.1PLD的设计方法(248)
10.2.2PLD的设计流程(248)
10.2.3在系统可编程技术(251)
10.2.4边界扫描技术(253)
10.3PLD的编程与配置(254)

10.3.1CPLD的ISP方式编程(255)
10.3.2使用PC的并口配置
FPGA(256)
本章小结(256)
思考题和习题(257)
附录A国产半导体集成电路型号
命名法(GB343082)(258)
参考文献
內容試閱
第2版前言
在20世纪90年代,国际上电子和计算机技术先进的国家,一直在积极探索新的电子电路设计方法,在设计方法、工具等方面进行了彻底的变革,并取得巨大成功。在电子设计技术领域,可编程逻辑器件(PLD)的应用,已得到很好的普及,这些器件为数字系统的设计带来极大的灵活性。由于该器件可以通过软件编程而对其硬件结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样方便快捷,极大地改变了传统的数字系统设计方法、设计过程和设计观念。随着可编程逻辑器件集成规模不断扩大、自身功能不断完善,以及计算机辅助设计技术的提高,使现代电子系统设计领域的电子设计自动化(EDA)技术应运而生。传统的数字电路设计模式,如利用卡诺图的逻辑化简手段、布尔方程表达式设计方法和相应的中小规模集成电路的堆砌技术正在迅速地退出历史舞台。
本书是基于硬件描述语言HDL(Hardware Description Language)编写的。目前,国际最流行的、并成为IEEE标准的两种硬件描述语言是VHDL和Verilog HDL,两种HDL各具特色。但Verilog HDL是在C语言的基础上演化而来的,只要具有C语言的编程基础,就很容易学会并掌握这种语言,而且国内外90%的电子公司都把Verilog HDL作为企业标准设计语言,因此本教材以Verilog HDL为主作为数字电路与系统的设计工具。
考虑到以卡诺图为逻辑化简手段和相应设计技术这种传统的数字电路设计模式仍然在国内多数高等院校使用,因此本书保留了这部分内容,同时新增了基于Verilog HDL现代的数字电路设计技术。读者通过两种设计技术的比对,更能体会现代数字电路设计技术的优越性与高效率性。
本书第1版于2010年出版,承蒙读者的厚爱,被国内多所大学选作教材。
第2版对第3、4、5、6和9章中的基于Verilog HDL的设计内容进行了修订,使数字电路设计的Verilog HDL源程序更加简洁、明了。
本书共10章:
第1章数制与编码,介绍脉冲信号和数字信号的特点、数制及其转换、二-十进制编码和字符编码。
第2章逻辑代数和硬件描述语言基础,介绍分析和设计数字逻辑电路的数学方法。首先介绍逻辑代数的基本概念、逻辑函数及其表示方法、基本公式、常用公式和重要定理,然后介绍硬件描述语言的基本知识,作为数字逻辑电路的设计基础。
第3章门电路,介绍晶体管的开关特性,TTL集成门电路和CMOS集成门电路。对于每一种门电路,除了介绍其电路结构、工作原理和逻辑功能外,还着重讨论它们的电气特性,为实际使用这些器件打下基础,最后介绍基于Verilog HDL的门电路设计。
第4章组合逻辑电路,介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法。在组合逻辑电路分析内容方面,以加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等电路的分析为例,介绍常用组合逻辑电路的结构、工作原理、逻辑功能、使用方法和主要用途,为读者使用这些器件打下基础。在组合逻辑电路设计内容方面,除了介绍传统的设计方法外,还重点介绍了基于Verilog HDL的设计方法。最后介绍组合逻辑电路中的竞争-冒险。
第5章触发器,介绍触发器的类型、电路结构和功能的表示方法,并介绍基于Verilog HDL的触发器设计,为时序逻辑电路的学习打下基础。
第6章时序逻辑电路,介绍时序逻辑电路的结构及特点,常用集成时序逻辑部件的功能及使用方法,时序逻辑电路的分析方法,传统时序逻辑电路的设计方法和基于Verilog HDL的时序逻辑电路的设计方法。
第7章脉冲单元电路,介绍矩形脉冲信号的产生和整形电路。555定时器是一种多用途的数字模拟混合集成电路,本章以555定时器为主,介绍用它构成的多谐振荡器、施密特触发器和单稳态触发器电路,同时还介绍用其他方式构成的脉冲单元电路。
第8章数模与模数转换,介绍DA转换器和AD转换器的原理、电路结构和主要技术指标,还介绍了集成DA转换芯片DAC0832和集成AD转换芯片ADC0809的内部结构、工作原理和使用方法。
第9章半导体存储器,首先介绍半导体存储器的结构与分类,然后介绍半导体存储器(RAM 和ROM)的工作原理和使用方法,还介绍了只读存储器ROM和可编程逻辑阵列PLA在组合逻辑电路设计方面的应用,最后介绍基于Verilog HDL的半导体存储器的设计。
第10章可编程逻辑器件,介绍可编程逻辑器件(PLD)的基本原理、电路结构和编程 方法。
书中列举了大量的基于Verilog HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、存储器和数字系统设计的实例,供读者参考。每个设计实例都经过了EDA工具软件的编译和仿真,确保无误。
全书逻辑电路图尽可能采用国标GB4728.1285(即国标标准IEC61712),为了读者习惯,保留了国际和国内的惯用符号。
本书配有电子课件,可登录华信教育资源网www.hxedu.com.cn下载,并配有《数字电路学习指导与实验》辅导教材,可一并选用。
本书由桂林电子科技大学江国强和覃琴编著,如有不足之处,恳请读者指正。
E-mail:hmjgq@gliet.edu.cn
地 址:桂林电子科技大学退休办(541004)
电 话:(0773)5601095,13977393225
编著者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.