登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』CMOS集成电路后端设计与实战

書城自編碼: 2663246
分類: 簡體書→大陸圖書→工業技術電子/通信
作者: 刘峰 著
國際書號(ISBN): 9787111514404
出版社: 机械工业出版社
出版日期: 2015-10-01
版次: 1 印次: 1
頁數/字數: 375页
書度/開本: 16开 釘裝: 平装

售價:NT$ 573

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
解套基本逻辑与六大战法
《 解套基本逻辑与六大战法 》

售價:NT$ 274.0
超级制造
《 超级制造 》

售價:NT$ 671.0
明朝270年:明朝的外交博弈和权力游戏
《 明朝270年:明朝的外交博弈和权力游戏 》

售價:NT$ 325.0
禅之道(畅销全球60余年的一代经典,揭示禅对现代人的解脱意义)
《 禅之道(畅销全球60余年的一代经典,揭示禅对现代人的解脱意义) 》

售價:NT$ 386.0
改变历史的意大利豪门 : 传奇家族美第奇
《 改变历史的意大利豪门 : 传奇家族美第奇 》

售價:NT$ 420.0
Procreate插画手绘从新手到高手
《 Procreate插画手绘从新手到高手 》

售價:NT$ 493.0
山河不足重,重在遇知己
《 山河不足重,重在遇知己 》

售價:NT$ 252.0
独自走过悲喜
《 独自走过悲喜 》

售價:NT$ 381.0

建議一齊購買:

+

NT$ 2235
《 天线手册(第22版) 》
+

NT$ 702
《 超大规模集成电路物理设计:从图分割到时序收敛 》
+

NT$ 621
《 非线性光纤光学(第五版) 》
+

NT$ 209
《 有机发光二极管OLED显示技术 》
+

NT$ 466
《 开关电源原理、设计及实例 》
+

NT$ 663
《 PWM整流器及其控制 》
編輯推薦:
作者十年磨铁之作,Intel、睿晟微电子、复旦微电子多位专家联袂推荐。
首本由本土作者系统讲解集成电路后端设计的专著,集后端设计之大成。
结合后端设计的主流工具,理论联系实践,极具可操作性。
內容簡介:
集成电路后端设计流程长、环节多,而且每个环节、每个工种都涉及非常多的背景知识和技能。为了让读者能够系统地掌握后端设计必备的基础知识,本书不仅在广度上全面覆盖集成电路后端设计的三个重要设计大方向:全定制、半定制和静态时序分析,而且在深度上覆盖了后端三大重要设计方向之间相互关联的技术点。并以此来贯穿整个后端设计流程,使读者在广度和技术点衔接两方面深入理解整个后端设计技术和流程细节。本书不拘泥于枯燥理论的灌输,把整个集成电路后端设计过程通过结合业内主流EDA设计工具和实践操作的形式进行讲解,最终以理论联系实际的方法来真正地提高读者学以致用的工程技术设计能力。本书是任何想要学习集成电路后端设计的读者必读的。

本书特点:
系统而且深入,既对后端设计知识的广度有足够的覆盖,同时也不乏深度和细致。
从完整工程设计的角度出发,结合主流工具,实操性强。
涉及的实验技术资料可以在相关EETOP【后端设计】分论坛下载。
作者将定期在EETOP分论坛与本书读者进行互动和交流,解答读者问题。
關於作者:
刘 峰 EETOP社区【后端设计】设计分论坛版主,拥有10年以上集成电路后端设计工程经验。目前主要从事集成电路后端设计的研究和开发工作,先后供职于多家国内外知名集成电路设计公司和科研院所,参与了多项国家863计划、核高基重大科技项目和重要的产品的研发。
目錄
前言
第1章引论
1.1集成电路发展史简介
1.2国内集成电路发展现状
1.3国际集成电路发展趋势
第2章集成电路后端设计方法
2.1集成电路后端设计
2.2后端全定制设计方法
2.2.1后端全定制设计流程介绍
2.2.2主流后端全定制设计工具介绍
2.2.3后端全定制设计小结
2.3后端半定制设计方法
2.3.1后端半定制设计流程介绍
2.3.2主流后端半定制设计工具介绍
2.3.3后端半定制设计小结
**部分后端全定制设计及实战
第3章后端全定制设计之标准单元设计技术
3.1设计标准单元库的重要性
3.2标准单元设计技术
3.2.1标准单元的基本介绍
3.2.2标准单元的基本类型
3.2.3标准单元库提供的数据
3.2.4标准单元设计参数
3.3标准单元设计流程
3.3.1方案设计
3.3.2标准单元电路及版图设计
3.3.3标准单元库版图和时序信息的提取
3.3.4库模型与库文档生成
3.3.5设计工具流程验证
3.3.6测试电路设计及工艺流片验证
3.4标准单元设计需要的数据
3.5标准单元设计EDA工具
第4章后端全定制设计之标准单元电路设计技术
4.1CMOS工艺数字电路实现结构
4.1.1静态电路实现结构
4.1.2伪NMOS电路实现结构
4.1.3传输管与传输门电路
4.1.4动态电路实现结构
4.1.5高扇入逻辑电路的实现结构
4.2CMOS数字电路优化
4.3标准单元库中几种时序单元介绍
4.3.1C2MOS触发器
4.3.2真单相触发器
4.3.3脉冲触发器
4.3.4数据流触发器
第5章后端全定制设计之标准单元电路设计实战
5.1电路设计流程
5.2时序单元HLFF的电路设计
5.2.1建立库及电路设计环境
5.2.2VituosoSchematicComposer使用基础
5.2.3时序单元HLFF电路实现
5.2.4时序单元HLFF电路元件的产生
5.2.5时序单元HLFF电路网表输出
5.3时序单元HLFF的电路仿真
5.3.1设置带激励输入的仿真电路图
5.3.2使用VirtuosoSpectreCircuitSimulator进行电路仿真
第6章后端全定制设计之标准单元版图设计技术
6.1基本CMOS工艺流程
6.2基本版图层
6.2.1NMOSPMOS晶体管的版图实现
6.2.2串联晶体管的版图实现
6.2.3并联晶体管的版图实现
6.2.4CMOS反相器的版图实现
6.2.5缓冲器的版图实现
6.2.6CMOS二输入与非门和或非版图实现
6.3版图设计规则
6.4版图设计中晶体管布局方法
6.4.1基本欧拉路径法
6.4.2欧拉路径法在动态电路中的应用
6.4.3晶体管尺寸对版图的影响
6.5标准单元版图设计的基本指导
6.5.1优化设计标准单元
6.5.2标准单元PIN脚的设计
第7章后端全定制设计之标准单元版图设计实战
7.1版图设计流程
7.2时序单元HLFF版图实现
7.2.1建立项目库及版图设计环境
7.2.2VituosoLayoutEditor使用基础
7.2.3时序单元HLFF版图实现
7.2.4时序单元HLFF版图GDS输出
7.3版图设计规则检查
7.3.1执行版图设计规则检查
7.3.2基于版图设计规则结果的调试
7.4版图与电路等价性检查
7.4.1执行版图与电路等价性检查
7.4.2基于版图与电路等价性检查结果的调试
7.5版图寄生参数提取
第8章后端全定制设计之标准单元特征化技术
8.1标准单元时序模型介绍
8.1.1基本的时序模型归纳
8.1.2时序信息建模方法
8.1.3时序信息文件基本内容
8.2标准单元物理格式LEF介绍
8.2.1LEF文件中重要参数详细说明
8.2.2LEF文件全局设置
8.2.3LEF文件中工艺库物理信息设置
8.2.4LEF文件中单元库物理信息设置
8.2.5LEF对应的图形视图
第9章后端全定制设计之标准单元特征化实战
9.1时序信息提取实现
9.1.1时序信息特征化的实现流程
9.1.2时序信息特征化的数据准备
9.1.3标准单元HLFF的时序信息特征化
9.1.4SiliconSmart工具流程介绍
9.2物理信息抽象化实现
9.2.1物理信息抽象化实现流程
9.2.2建立物理信息抽象化工作环境
9.2.3标准单元HLFF的物理信息抽象化
9.2.4版图抽象化后LEF数据输出

第二部分后端半定制设计及实战
第10章后端半定制设计之物理实现技术
10.1半定制物理实现工程师应该具备的能力
10.2半定制物理实现流程
10.3半定制物理实现使用的EDA工具
10.4半定制物理实现需要的数据
10.5布局规划
10.6电源规划
10.6.1电压降与电迁移
10.6.2电源规划前的功耗预估方法
10.6.3电源条带的基本设置方法
10.6.4电源环的基本设置方法
10.6.5电源网络分析的基本方法
10.7时钟树的实现
10.7.1常见时钟网络的实现方法
10.7.2时钟树的综合策略
10.7.3时钟树的基本性能参数
10.7.4时钟树的综合流程
10.7.5门控时钟
10.7.6时钟树优化基本指导
10.8布线
10.8.1天线效应
10.8.2串扰噪声
10.8.3数模混合信号线走线的基本方法
10.9ECO
第11章后端半定制设计之Open-SparcT1-FPU布局布线实战
11.1布局布线的基本流程
11.2布局布线工作界面介绍
11.3建立布局布线工作环境
11.4布局布线实现
11.4.1芯片布局
11.4.2电源网络实现
11.4.3自动放置标准单元
11.4.4时钟树综合
11.4.5布线
11.4.6芯片版图完整性实现
11.4.7布局布线数据输出
第12章后端半定制设计之Open-SparcT1-FPU电压降分析实战
12.1电压降分析的基本流程
12.2建立电压降分析的工作环境
12.3电压降分析实现
12.3.1设置电源网格库
12.3.2功耗计算
12.3.3电压降分析

第三部分静态时序分析及实战
第13章静态时序分析技术
13.1静态时序分析介绍
13.1.1静态时序分析背景
13.1.2静态时序分析优缺点
13.2静态时序分析基本知识
13.2.1CMOS逻辑门单元时序参数
13.2.2时序模型
13.2.3互连线模型
13.2.4时序单元相关约束
13.2.5时序路径
13.2.6时钟特性
13.2.7时序弧
13.2.8PVT环境
13.3串扰噪声
13.3.1串扰噪声恶化原因
13.3.2串扰噪声的体现形式
13.3.3串扰噪声相互作用形式
13.3.4时间窗口
13.4时序约束
13.4.1时钟约束
13.4.2IO延时约束
13.4.3IO环境建模约束
13.4.4时序例外
13.4.5恒定状态约束
13.4.6屏蔽时序弧
13.4.7时序设计规则约束
13.5静态时序分析基本方法
13.5.1时序图
13.5.2时序分析策略
13.5.3时序路径延时的计算方法
13.5.4时序路径的分析方法
13.5.5时序路径分析模式
第14章静态时序分析实战
14.1静态时序分析基本流程
14.2建立静态时序分析工作环境
14.3静态时序分析实现
14.3.1建立时间分析
14.3.2保持时间分析
14.3.3时序设计规则分析
14.3.4时序违反修复
参考文献

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.