登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』超大规模集成电路设计

書城自編碼: 2529398
分類: 簡體書→大陸圖書→工業技術電子/通信
作者: 曲英杰,方卓红 编著
國際書號(ISBN): 9787115383822
出版社: 人民邮电出版社
出版日期: 2015-02-01
版次: 1 印次: 1
頁數/字數: 375/620000
書度/開本: 16开 釘裝: 平装

售價:NT$ 490

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
经纬度丛书·巴勒斯坦人的故事:流亡者的悲情、绝望与抗争
《 经纬度丛书·巴勒斯坦人的故事:流亡者的悲情、绝望与抗争 》

售價:NT$ 493.0
改变世界的哲学家们
《 改变世界的哲学家们 》

售價:NT$ 493.0
将军
《 将军 》

售價:NT$ 269.0
墓志的生成及其在唐代的衍变研究
《 墓志的生成及其在唐代的衍变研究 》

售價:NT$ 549.0
理解中国经济:在大变局中读懂新机遇
《 理解中国经济:在大变局中读懂新机遇 》

售價:NT$ 252.0
饥饿与国家:苏丹的饥荒、奴隶制和权力(1883~1956)
《 饥饿与国家:苏丹的饥荒、奴隶制和权力(1883~1956) 》

售價:NT$ 386.0
管好你的钱:人人都要懂的财富传承(一本书带你了解财富传承的7种方式)
《 管好你的钱:人人都要懂的财富传承(一本书带你了解财富传承的7种方式) 》

售價:NT$ 381.0
新质生产力:中国创新发展的着力点与内在逻辑
《 新质生产力:中国创新发展的着力点与内在逻辑 》

售價:NT$ 442.0

建議一齊購買:

+

NT$ 332
《 高等师范院校专业基础课教材:外国教育史 第2版 》
+

NT$ 911
《 外科学(第八版/本科临床/十二五规划) 》
+

NT$ 921
《 内科学(第八版/本科临床/十二五规划) 》
+

NT$ 372
《 复旦博学 新闻与传播系列:新闻学概论(第五版) 》
內容簡介:
本书本书根据超大规模集成电路设计的工程需要确定知识结构,内容涵盖了超大规模集成电路设计流程中的各个知识点,系统介绍了超大规模集成电路的设计思想、原理、方法和技术。主要内容包括数字集成电路设计概述、VLSI设计方法学、Verilog硬件描述语言、VerilogHDL逻辑设计方法、VLSI设计的验证方法、EDA工具的使用方法、低功耗设计技术、可测性设计方法,以及多个设计实例。其中,设计实例丰富且介绍详尽,学生能够深入了解各个设计环节,加深对设计方法的理解,提高其工程实践能力。
本书可以作为高等学校电子信息类各专业本科生和研究生的教材,也可供相关的工程技术人员参考。
目錄
第1章 数字集成电路设计概述
 1.1 数字集成电路的发展历史与现状
1.1.1 机械式计算机的启蒙时代
1.1.2 电子技术和半导体技术的诞生和发展
 1.2 现代数字IC设计方法的发展
1.2.1 自底向上的设计方法
1.2.2自顶向下的设计方法
1.2.3自顶向下与自底向上相结合的设计方法
 1.3 数字IC前端设计语言及后端设计软件EDA
1.3.1 Verilog硬件描述语言
1.3.2 VHDL设计语言
1.3.3 验证和验证语言
1.3.4 数字IC设计后端EDA工具
 1.4 数字IC的设计模式
1.4.1 全定制设计模式full custom
1.4.2 标准单元设计模式standard cell
1.4.3 门阵列设计模式gate-array
1.4.4 宏模块设计模式macro cell
1.4.5 FPGAfield programmable gate array设计模式
1.4.6 不同设计模式的比较
 1.5 数字IC设计面临的挑战
1.5.1 工艺极限的挑战
1.5.2 投资风险的挑战
1.5.3 IC工程师面临的挑战
1.5.4 项目管理上的挑战
 1.6 集成电路的分类
1.6.1 按用途分类
1.6.2 按集成度分类
1.6.3 按设计与制造过程分类
 1.7 集成电路设计与制造相关的常用术语和基本概念
 1.8 集成电路设计质量评价
第2章 VLSI设计方法学
 2.1 VLSI设计流程简介
 2.2 系统体系结构设计
2.2.1 系统体系结构设计的内容及方法
2.2.2 系统体系结构设计实例
 2.3 RTL代码编写
 2.4 RTL代码功能仿真
 2.5 综合优化
 2.6 可测性设计
 2.7 后端布局布线
 2.8 时序仿真
 2.9 静态时序分析与时序收敛
2.9.1 静态时序分析
2.9.2 时序收敛
 2.10 CMOS工艺选择
 2.11 IC产业的变革及对设计方法的影响
第3章 VERILOG硬件描述语言
 3.1 引言
 3.2 Verilog HDL基本结构
3.2.1 简单的Verilog HDL例子
3.2.2 Verilog HDL的基本结构
3.2.3 逻辑功能定义
3.2.4 关键字
3.2.5 标识符
3.2.6 编写Verilog HDL源代码的标准
 3.3 数据类型及常量、变量
 3.4 运算符及表达式
 3.5 语句
 3.6 赋值语句和块语句
 3.7 条件语句
 3.8 循环语句
 3.9 结构说明语句
 3.10 编译预处理语句
 3.11 语句的顺序执行与并行执行
 3.12 不同抽象级别的Verilog HDL模型
 3.13 设计技巧
第4章 VERILOG HDL逻辑设计方法
 4.1 基本组合电路的设计方法
 4.2 基本时序电路设计
 4.3 同步状态机的设计方法
 4.4 存储模块设计
 4.5 复杂数字系统的逻辑设计
4.5.1 算法状态机图
4.5.2 数据通道控制器划分
4.5.3 复杂数字系统的设计方法
 4.6 复杂数字系统设计举例-多周期处理机设计
4.6.1 多周期处理机Verilog RTL代码
4.6.2 多周期处理机测试代码
4.6.3 多周期处理机功能仿真
 4.7 可综合的Verilog RTL设计
4.7.1 可综合的组合电路设计
4.7.2 可综合的时序电路设计
 4.8 代码书写风格
第5章 VLSI设计的验证方法
 5.1 VLSI设计验证的原理与方法
 5.2 软件仿真举例1:RSA加密处理器仿真
 5.3 软件仿真举例2-基于USB的RSA加密处理器的功能仿真
 5.4 软件仿真举例3-AES加密处理器的时序仿真
 5.5 硬件仿真举例-基于USB的AESRSA加密处理器的硬件仿真
 5.6 验证平台编码风格
 5.7 验证平台模块设计
 5.8 验证平台结构设计
 5.9 断言
 5.10 验证质量评估
第6章 EDA工具的使用方法
第7章 低功耗设计技术
第8章 可测性设计方法
第9章 VLSI设计实例1-AES密码处理器设计
第10章 VLSI设计实例2-SMS4密码处理器设计
参考文献

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.