登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』高性能集成电路设计

書城自編碼: 2509228
分類: 簡體書→大陸圖書→工業技術電子/通信
作者: Emre Salman[埃姆雷﹒萨尔曼],Eby G. Fr
國際書號(ISBN): 9787121250903
出版社: 电子工业出版社
出版日期: 2015-01-01
版次: 1 印次: 1
頁數/字數: 352/563200
書度/開本: 16开 釘裝: 平装

售價:NT$ 813

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
不胜欢喜
《 不胜欢喜 》

售價:NT$ 296.0
现代欧洲史:1500—1815
《 现代欧洲史:1500—1815 》

售價:NT$ 493.0
高颜值创意饮品:咖啡 茶饮 鸡尾酒 气泡水
《 高颜值创意饮品:咖啡 茶饮 鸡尾酒 气泡水 》

售價:NT$ 335.0
慢慢来,好戏都在烟火里
《 慢慢来,好戏都在烟火里 》

售價:NT$ 279.0
一间自己的房间
《 一间自己的房间 》

售價:NT$ 223.0
波段交易的高级技术:股票和期权交易者的资金管理、规则、策略和程序指南
《 波段交易的高级技术:股票和期权交易者的资金管理、规则、策略和程序指南 》

售價:NT$ 442.0
人,为什么需要存在感:罗洛·梅谈死亡焦虑
《 人,为什么需要存在感:罗洛·梅谈死亡焦虑 》

售價:NT$ 381.0
锁国:日本的悲剧
《 锁国:日本的悲剧 》

售價:NT$ 437.0

建議一齊購買:

+

NT$ 882
《 探地雷达信号处理 》
+

NT$ 614
《 惯性导航与组合导航基础 》
+

NT$ 539
《 SMT核心工艺解析与案例分析(第2版) 》
+

NT$ 642
《 信号与系统(第二版) 》
+

NT$ 371
《 太阳能光伏发电系统设计施工与应用 》
+

NT$ 283
《 LED照明技术与灯具设计 》
內容簡介:
本书旨在整合目前纳米级集成电路主要关注的以互连为中心的设计方法。全书分为五个部分,从互连网络、电源管理、时钟同步、噪声隔离等几个方面来介绍以互连为中心的集成电路设计。第一部分主要介绍集成电路的发展史以及从晶体管和互连的角度来看工艺缩放技术;第二部分主要介绍互连网络,包括互连的一般特性、大型网络中的互连传输特性、串扰以及全局信号传输方法;第三部分主要介绍跟互连相关的电源管理,具体为电源的产生、分布、计算机辅助设计、降低供电噪声的方法以及功耗;第四部分主要介绍同步系统,包含同步过程、片上时钟的生成、同步系统、片上时钟分布等;第五部分主要探讨大规模混合信号系统,分析了集成电路中的衬底耦合噪声并介绍了降低该类噪声的方法。
目錄
第Ⅰ部分 背 景 知 识
第1章 引言
1.1 历史简介
1.1.1 晶体管
1.1.2 集成电路
1.2 多样的摩尔和超越摩尔
1.3 IC设计目标回顾
1.4 本书架构
第2章 缩放技术
2.1 器件缩放
2.1.1 MOS器件原理
2.1.2 恒定电场缩放
2.1.3 恒定电压缩放
2.1.4 器件的缩放方案比较
2.2 小尺寸效应
2.2.1 阈值电压滚降
2.2.2 漏感应势垒降低
2.2.3 速度饱和
2.2.4 迁移率退化
2.3 器件优化
2.3.1 非均匀沟道掺杂
2.3.2 应变工程
2.3.3 高K和金属栅结构的组合
2.3.4 多栅器件
2.4 互连的缩放
2.4.1 全局与局部互连
2.4.2 理想缩放
2.4.3 更加实际的缩放方案
2.4.4 不同互连线缩放方案的比较
2.5 互连的改进
2.5.1 超低K介质材料
2.5.2 三维集成
2.5.3 片上光互连
2.5.4 碳基片上互连
2.6 本章小结
第Ⅱ部分 互 连 网 络
第3章 互连模型及其提取
3.1 互连设计标准
3.1.1 延迟
3.1.2 带宽
3.1.3 噪声
3.1.4 功耗
3.1.5 物理面积
3.2 互连电容
3.2.1 互连电容的组成
3.2.2 互连线的电容提取
3.3 互连电阻
3.3.1 铜电阻率
3.3.2 互连电阻的提取
3.4 互连电感
3.4.1 电感的定义
3.4.2 电感的频率的相关
3.4.3 片上电感何时重要
3.4.4 互连电感提取过程
3.5 本章总结
第4章 信号传输分析
4.1 集总模型和分布式模型
4.1.1 集总模型
4.1.2 分布式传输线模型
4.1.3 分布式互连线的集总表示
4.1.4 确定最高频率
4.1.5 封闭解
4.2 模型降阶
4.2.1 RC连线的Elmore延迟
4.2.2 Wyatt近似
4.2.3 延迟界限: PenfieldRubinstein算法
4.2.4 矩匹配
4.2.5 渐进波形估计
4.2.6 计算RLC树的矩
4.2.7 AWE方法的优点与局限性
4.2.8 传递函数的直接截断法(DTT)
4.2.9 RLC线的Elmore延迟
4.2.10 Krylov空间技术
4.3 本章总结
第5章 互连耦合噪声
5.1 主动和被动的器件噪声
5.1.1 热噪声
5.1.2 散粒噪声
5.1.3 闪烁噪声
5.2 容性耦合噪声
5.2.1 耦合电容的缩放特点
5.2.2 耦合电容与翻转率的关系
5.2.3 容性耦合噪声的建模
5.3 感性耦合噪声
5.4 总线结构的互连线
5.5 耦合噪声的影响
5.5.1 功能失效
5.5.2 毛刺功耗
5.5.3 延迟不确定性的增加
5.6 本章总结
第6章 全局信号
6.1 互连技术优化
6.1.1 构建互连树结构
6.1.2 线宽、 线间距及线形
6.2 电路级信号
6.2.1 容性负载: 锥形中继器设计
6.2.2 锥形指数因子
6.2.3 锥形指数因子的改进
6.2.4 电阻负载: RC线中中继器的插入
6.2.5 最优的中继器数量和大小
6.2.6 感性负载: RLC互连线中的中继器插入
6.2.7 树形互连结构中的中继器插入
6.2.8 插入中继器以降低耦合噪声
6.2.9 屏蔽线插入
6.2.10 调整门的尺寸
6.2.11 信号重布线及线重新排序
6.3 全局信号的权衡
6.4 本章总结
第Ⅲ部分 电 源 管 理
第7章 电源的产生
7.1 稳压器
7.1.1 稳压效率
7.1.2 能量效率
7.2 线性稳压器
7.2.1 基本特征
7.2.2 低压差稳压器
7.2.3 低压差稳压器设计中的权衡
7.3 开关电容变换器
7.3.1 基本特征
7.3.2 能量效率
7.4 开关DCDC变换器
7.4.1 基本特征
7.4.2 开关降压变换器
7.4.3 电压纹波
7.4.4 能量效率
7.5 稳压器比较
7.6 片上电源转换
7.6.1 机会
7.6.2 挑战
7.7 本章总结
第8章 电源分布网络
8.1 电源和电源噪声
8.1.1 电源噪声
8.1.2 电源噪声的影响
8.1.3 电源噪声的缩放趋势
8.1.4 电源地分布系统
8.2 片上电源分布结构
8.2.1 路由网络
8.2.2 不规则网格结构网络
8.2.3 规则的网格结构网络
8.2.4 电源和地平面
8.2.5 级联的电源地环
8.2.6 混合的电源和地网络
8.3 输出阻抗特性
8.3.1 目标阻抗
8.3.2 去耦电容和谐振
8.3.3 片上去耦电容的分类
8.3.4 不同电源网格类型的阻抗
8.4 本章小结
第9章 计算机辅助设计与分析
9.1 片上电源网络设计流程
9.1.1 布局规划前(prefloorplan)阶段
9.1.2 布局规划后阶段
9.1.3 版图后阶段
9.2 RLC阻抗建模
9.3 估算去耦电容
9.3.1 解析技术
9.3.2 基于仿真的技术
9.4 表征负载电路
9.4.1 使用无源器件
9.4.2 利用分段线性电流源
9.4.3 输入开关模式的依赖关系
9.5 片上电源地噪声分析
9.5.1 静态分析技术
9.5.2 动态分析
9.5.3 层次化分析
9.5.4 统计分析
9.6 本章小结
第10章 电源降噪技术
10.1 电路级降噪
10.1.1 拓扑结构和布线宽度的优化
10.1.2 去耦电容的布局
10.1.3 利用阻尼因子
10.1.4 偏差和摆率控制
10.1.5 反相时钟树
10.1.6 分散谱时钟的产生
10.2 系统级降噪
10.2.1 感知电源噪声的布局
10.2.2 封装和板级特性
10.2.3 异步电路设计
10.3 本章小结
第11章 功耗
11.1 瞬态功耗
11.1.1 动态功耗
11.1.2 短路功耗
11.2 静态功耗
11.2.1 反偏pn结漏电电流
11.2.2 亚阈值漏电电流
11.2.3 亚阈值电流建模
11.2.4 亚阈值斜率
11.2.5 栅氧隧穿漏电电流
11.2.6 栅极漏电电流性质
11.2.7 高介电常数栅极电介质材料
11.2.8 高介电常数电介质与金属栅
11.2.9 直流功耗
11.3 本章小结
第Ⅳ部分 同 步
第12章 同步理论与选择
12.1 布尔信号的分类
12.1.1 等时与非等时信号
12.1.2 同步与异步信号
12.2 全同步电路操作
12.2.1 时序关系
12.2.2 优点
12.2.3 局限性
12.3 自定时电路操作
12.3.1 时序关系
12.3.2 优点
12.3.3 局限性
12.3.4 全同步对自定时系统
12.4 GALS电路操作
12.4.1 GALS系统中的同步器
12.4.2 优点
12.4.3 局限性
12.5 本章小结
第13章 片上时钟生成
13.1 环振
13.1.1 环振的频率稳定性
13.1.2 多相位时钟生成
13.2 晶振
13.2.1 晶体谐振器
13.2.2 标准晶振
13.2.3 皮尔斯振荡器
13.3 锁相环(PLL)
13.3.1 数字系统中的PLL
13.3.2 系统层面的特性
13.3.3 鉴相器
13.3.4 鉴频鉴相器(PFD)
13.3.5 电荷泵
13.3.6 环路滤波器
13.3.7 压控振荡器
13.3.8 频率响应和PLL环路动力学
13.4 延迟锁相环
13.4.1 工作原理
13.4.2 优点
13.4.3 频率响应
13.4.4 局限性
13.5 本章总结
第14章 同步系统的特性
14.1 数据路径延迟部件
14.1.1 最小时钟周期
14.1.2 竞争状态
14.2 寄存器的建立保持时间
14.3 建立保持时间的表征
14.3.1 独立型建立保持时间表征
14.3.2 依赖型建立保持时间表征
14.4 局部数据路径示例
14.5 时钟偏差
14.5.1 时钟偏差定义
14.6 时序约束
14.6.1 长数据路径的时序约束
14.6.2 短数据路径的时序约束
14.7 增强同步性能
14.7.1 局部负时钟偏差示例
14.8 本章总结
第15章 片上时钟分布
15.1 时钟分布设计
15.1.1 缓冲树形时钟分布
15.1.2 对称H树形时钟分布网络
15.1.3 控制时钟偏差的补偿技术
15.1.4 低功耗时钟分布网络设计
15.2 自动布局与综合
15.2.1 时钟分布的自动版图生成
15.2.2 自动时钟分布综合
15.2.3 重定时
15.3 分析与建模
15.3.1 工艺不敏感的时钟分布网络
15.3.2 时钟偏差的估算模型
15.4 时钟偏差调度
15.4.1 片外时钟偏差
15.4.2 全局和局部时序约束
15.4.3 示例
15.5 工业级时钟分布网络示例
15.5.1 贝尔电话WE32100 32位微处理器
15.5.2 DECCompaq 64位Alpha微处理器
15.5.3 8位×8位流水乘法器
15.5.4 Intel IA64微处理器
15.6 本章小结
第Ⅴ部分 衬底感知设计
第16章 混合信号系统中的衬底噪声
16.1 开关噪声耦合机制
16.1.1 互连耦合
內容試閱
本书的主要目的是为了整合目前纳米级集成电路(IC)主要关注的以互连为中心的设计方法。在过去的十五年, IC设计过程已经从以逻辑驱动为中心转移到以互连为中心的范式。片上互连对系统速度、 功耗、 可靠性和耐用性的影响越来越重要。尽管在这一领域有大量的研究, 然而据我们所知, 本书是第一本既专注于这种新技术, 同时也包括最新的发展、 未来趋势和方向的图书, 内容广泛且兼具教程风格。
大多数现有的集成电路书籍主要集中在以逻辑为中心的超大规模集成电路(VLSI)系统的设计, 很少强调与互连相关的问题。现有资源的不足限制了可能想要超越传统超大规模集成电路设计的理念或者想理解高性能纳米级集成电路设计过程的学生、 研究人员或从业工程师。大量的研究论文和专著具有不同的可用性, 尽管对以互连为中心的设计的相关领域有帮助, 但还需要对一些主题进行深入了解。本书旨在填补这一空间, 同时, 通过对以互连为中心的设计方法的统一讨论, 为更先进的研究和工程实践打下基础。
在过去的三十年, 超大规模集成电路方面的教科书演变了三代。1980年, Mead和Conway发表了在超大规模集成电路设计领域仍然有影响力的第一本教科书\[1\]。这本书是第一本以系统的方法来介绍超大规模集成电路设计的书籍, 其中通过(λ型)物理设计规则将IC制造工艺从设计过程中抽象出来, 重点强调简化IC设计过程。这本书中提出了自顶向下的VLSI设计流程, 使得非专业人士可以通过对最少量的必要知识的了解来设计一个数字集成电路。这本书将IC设计流程介绍给计算机科学家, 促进了计算机辅助的电子自动化设计领域的出现。大学开始开设基于Mead和Conway范式的IC设计课程。第一代教程建立了IC设计流程和不同抽象层次的基础。此时IC的复杂性相对较低, 晶体管的电流电压关系是基于Shockley方程建立的。
第二代教程由Glasser、 Dobberpuhl\[2\]和Weste、 Eshraghian\[3\]在20世纪80年代中期发表的著作体现, 强调自上而下的方法, 重点关注将抽象层次下移。为实现日益复杂的IC, 超大规模集成电路的设计流程得到了增强。IC设计自动化方法学重点关注将硬件描述语言(如Verilog和VHDL)引入电路综合。
到了20世纪90年代中期和21世纪初, 出现了一些描述更复杂的集成电路设计流程的超大规模集成电路教科书。第三代超大规模集成电路的教科书讨论了工艺缩放对设计流程的影响, 强调了主要子系统, 如数据通路(加法器、 移位器和乘法器)、 内存和控制单元等的设计。
前三代超大规模集成电路教科书的共同特点是以晶体管为中心, 这些教科书中描述的设计流程是由逻辑门主导的, 很少讨论互连。而近期发表在2000年后期的教科书, 如Weste和Harris的书籍\[4\], 有几个章节考虑了互连的某些方面, 但其重点仍然在晶体管。
作者认为, 本书从主要关注逻辑门转移到以互连为中心的设计方法, 代表了第四代超大规模集成电路教科书。第四代早期的第一本重要的书籍是由Bakoglu在1990年出版的\[5\]。这本前瞻性的书籍超前于时代, 考虑了片上互连对集成电路日益增加的重要性。相对于以前的书籍, 它提出了一种自下而上的设计方法。虽然Bakoglu的书曾作为以互连为中心的IC设计的一本有效的手册, 但是距其出版发行已过了二十多年。因此, 正如本书所尝试的, 非常有必要对Bakoglu的书进行全面的更新。第四代超大规模集成电路教科书重点关注在过去十五年中已经占据了IC设计流程主导地位的互连瓶颈问题和解决这个开创性问题的全局方案。
本书的组织形式是基于自下而上的方法。首先在工艺缩放的概述中讨论片上互连的主导作用。同时也讨论了一些可以缓解与缩放相关重大挑战的新兴器件和技术。在本书的其余部分, 考虑了三个高性能集成电路设计的主要问题: 数据信号、 电源管理和同步。由于混合信号集成电路和系统级芯片的重要性日益增加, 并且衬底对全局互连的相互依赖关系极大地影响IC的整体性能, 因此在本书的框架中同时也讨论了感知衬底的设计。
以互连为中心的设计方法在设计的每个主要方面都发挥着核心作用, 并作为本书的一个统一的主题。本书在提供所有问题共有的互连的一般特性后, 分别讨论了每种互连的类型(数据、 电源、 时钟和衬底)特有的具体设计约束和设计方法, 同时也突出了这些问题之间的相互关系。为提供额外的电路解析, 本书还讨论了专用电路的设计, 如用于数据传输的锥形缓冲器和中继器, 用于电源管理的电压调节器以及用于同步的锁相环。本书还提供了一个拓展阅读参考文献, 供对本书所讨论的众多议题有兴趣进行更深入了解的读者参考。
本书源于在纽约罗切斯特大学电气与计算机工程系由高年级本科生和一年级研究生参加的高性能IC设计的一个研究生课程。纽约石溪大学的电气和计算机工程系也正在提供类似的课程。该课程强调以互连为中心的IC设计, 现有的教科书主要集中在以逻辑驱动的设计, 不能提供合适的视角和覆盖所需的材料。另外, 相关的研究专著通常集中在以互连为中心的设计环境中的特定子主题, 不提供教学的透视。因此, 作者们决定写一本自包含的书, 将涵盖这些课程的全部内容, 同时获得强大的教学透视。
本书面向四类人员:①想更深入了解高性能集成电路设计的高年级本科生和研究生; ②正在进入高性能集成电路设计领域并且需要具有足够的广度和深度的参考用书的研究人员; ③愿意扩宽他们的电路知识以进行与高性能集成电路有关研究的相近领域的人员, 如计算机体系结构和器件物理研究的人员; ④需要一本以互连为中心设计的通用参考书的在半导体行业工作的从业工程师。
本书的总体目标是: 为高性能集成电路的物理设计和分析提供背景, 同时作为以互连为中心的电路设计的全面教程。关于这个关键设计问题的统一讨论, 将有望成为学生、 研究人员以及在高性能集成电路领域工作的工程师的有价值的指导。

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.