登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日

2024年03月出版新書

2024年02月出版新書

2024年01月出版新書

2023年12月出版新書

2023年11月出版新書

2023年10月出版新書

2023年09月出版新書

2023年08月出版新書

2023年07月出版新書

2023年06月出版新書

2023年05月出版新書

2023年04月出版新書

2023年03月出版新書

2023年02月出版新書

『簡體書』ModelSim电子系统分析及仿真(第2版)(含CD光盘1张)

書城自編碼: 2199724
分類: 簡體書→大陸圖書→計算機/網絡程序設計
作者: 于斌
國際書號(ISBN): 9787121219207
出版社: 电子工业出版社
出版日期: 2014-01-01
版次: 1 印次: 1
頁數/字數: 388/610000
書度/開本: 16开 釘裝: 平装

售價:NT$ 531

我要買

share:

** 我創建的書架 **
未登入.



新書推薦:
不较真的心理智慧
《 不较真的心理智慧 》

售價:NT$ 279.0
漫画算法与数据结构(大规模数据集)
《 漫画算法与数据结构(大规模数据集) 》

售價:NT$ 447.0
欧洲的扩张1415—1789:现代世界的奠基
《 欧洲的扩张1415—1789:现代世界的奠基 》

售價:NT$ 829.0
引导的秘诀:通过团队合作获得结果的SMART指南(最新修订版)(白金版)
《 引导的秘诀:通过团队合作获得结果的SMART指南(最新修订版)(白金版) 》

售價:NT$ 554.0
文史星历:秦汉史丛稿
《 文史星历:秦汉史丛稿 》

售價:NT$ 661.0
神灵衰落:祈雨与乾隆朝的信仰危机
《 神灵衰落:祈雨与乾隆朝的信仰危机 》

售價:NT$ 498.0
深度营销:成就营销领导力的12大原则(麦肯锡年度经管好书,12大原则揭秘营销本质,带好团队就是从领导力到影响力!)
《 深度营销:成就营销领导力的12大原则(麦肯锡年度经管好书,12大原则揭秘营销本质,带好团队就是从领导力到影响力!) 》

售價:NT$ 325.0
新质生产力:发展新动能
《 新质生产力:发展新动能 》

售價:NT$ 493.0

建議一齊購買:

+

NT$ 510
《 嵌入式高速串行总线技术——基于FPGA实现与应用 》
+

NT$ 466
《 Vivado从此开始 》
+

NT$ 398
《 FPGA项目开发实战讲解 》
+

NT$ 656
《 Xilinx FPGA权威设计指南——Vivado 2014集成开发环境 》
+

NT$ 730
《 FPGA设计技巧与案例开发详解(第2版) 》
+

NT$ 457
《 FPGA设计实战演练(高级技巧篇) 》
編輯推薦:
(1)在第一版基础上,综合读者建议、课题使用情况进行修订完善,补充更多典型实例。
(2)本书的第一版是唯一一本ModelSim图书,读者评价很好。
(3)所有实例配有操作视频,语音讲解。
內容簡介:
ModelSim是优秀的HDL仿真软件之一,它能提供友好的仿真环境,是业界唯一单内核支持VHDL和Verilog混合仿真的仿真器,它采用直接优化的编译技术、TclTk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGAASIC设计的首选仿真软件。
本书以ModelSim SE 10.1c版软件为平台,由浅入深、循序渐进地介绍ModelSim 10.1c软件各部分知识,包括ModelSim 10.1c的基础知识、菜单命令、库和工程的建立与管理、VerilogVHDL文件编译仿真、采用多种方式分析仿真结果,以及与多种软件联合仿真等知识。书中配有大量插图,并结合实例详细地讲解使用ModelSim进行仿真操作的基本知识和方法技巧,配书光盘中有本书实例操作的视频讲解,读者能够轻松学习。
本书在第一版的基础上,综合读者建议、课题使用情况进行修订完善,更多典型实例。
關於作者:
于斌,本硕就读于哈尔滨工业大学电子信息科学与技术专业和微电子与固体电子学专业,任教于哈尔滨理工大学软件学院集成电路设计与集成系统专业多年,主讲数字电路、Verilog HDL语言及计算机组成原理等课程,研究方向为集成电路数字前端设计及FPGA相关方向。
目錄
第1章 概述 1
1.1 IC设计与ModelSim 2
1.1.1 IC设计基本流程 2
1.1.2 ModelSim概述 3
1.2 ModelSim应用基本流程 5
1.3 ModelSim基本仿真流程 5
1.3.1 创建一个工作库 6
1.3.2 编译设计文件 7
1.3.3 运行仿真 8
1.3.4 查看结果 9
1.4 ModelSim工程仿真流程 9
1.4.1 创建工程及工程库 10
1.4.2 创建新文件 11
1.4.3 加载设计文件 12
1.4.4 编译源文件 13
1.4.5 运行仿真和查看结果 14
1.4.6 工程调试 14
第2章 操作界面 16
2.1 整体界面 17
2.2 菜单栏 17
2.2.1 File菜单 18
2.2.2 Edit菜单 25
2.2.3 View菜单 27
2.2.4 Compile菜单 28
2.2.5 Simulate菜单 30
2.2.6 Add菜单 33
2.2.7 Tools菜单 33
2.2.8 Layout菜单 39
2.2.9 Bookmarks菜单 39
2.2.10 Window菜单 40
2.2.11 Help菜单 41
2.3 工具栏 42
2.4 标签区 42
2.5 命令窗口 43
2.6 MDI窗口 44
2.6.1 源文件窗口 44
2.6.2 波形窗口 45
2.6.3 列表窗口 46
2.6.4 数据流窗口 46
2.6.5 属性窗口 47
2.6.6 进程窗口 48
2.6.7 对象窗口 48
2.6.8 存储器窗口 48
2.6.9 原理图窗口 49
2.6.10 观察窗口 50
2.7 界面的设置 50
2.7.1 定制用户界面 50
2.7.2 设置界面参数 52
第3章 工程和库 54
3.1 ModelSim工程 55
3.1.1 删除原有工程 55
3.1.2 开始一个新工程 55
3.1.3 工程标签 57
3.1.4 工程编译 58
3.1.5 仿真环境配置 61
3.1.6 工程文件组织 63
3.1.7 工程及文件属性设置 64
实例3-1 工程文件管理 69
3.2 ModelSim库 73
3.2.1 概述 73
3.2.2 库的创建及管理 74
3.2.3 资源库管理 76
3.2.4 导入FPGA的库 77
3.2.5 本节实例 78
第4章 ModelSim对不同语言的仿真 84
4.1 VHDL仿真 85
4.1.1 VHDL文件编译 85
4.1.2 VHDL设计优化 86
4.1.3 VHDL设计仿真 91
4.1.4 还原点和仿真恢复 96
4.1.5 TEXTIO的使用 97
实例4-1 VHDL设计的仿真全过程 99
4.2 Verilog仿真 104
4.2.1 Verilog文件编译 104
4.2.2 Verilog设计优化 105
4.2.3 Verilog设计仿真 106
4.2.4 还原点和仿真恢复 111
4.2.5 单元库 111
4.2.6 系统任务和系统函数 112
4.2.7 编译指令 114
实例4-2 32位浮点乘法器的Verilog
仿真过程 115
4.3 C调试 121
4.3.1 概述 121
4.3.2 C步进调试与调试设置 123
4.4 SystemC仿真 124
4.4.1 概述 124
4.4.2 SystemC文件的编译和链接 125
4.4.3 设计仿真和调试 130
4.4.4 常见错误 132
4.5 混合语言仿真 134
4.5.1 编译过程与公共设计库 134
4.5.2 映射数据类型 136
4.5.3 VHDL调用Verilog 139
4.5.4 Verilog调用VHDL 141
4.5.5 SystemC调用Verilog 141
4.5.6 Verilog调用SystemC 142
4.5.7 SystemC调用VHDL 143
4.5.8 VHDL调用SystemC 144
实例4-3 systemC与Verilog混合仿真
过程 145
第5章 利用ModelSim进行仿真分析 148
5.1 仿真概述 149
5.2 WLF文件和虚拟对象 150
5.2.1 保存仿真状态 150
5.2.2 Dataset结构 153
5.2.3 Dataset管理 154
5.2.4 虚拟对象 156
5.3 利用波形编辑器产生激励 159
5.3.1 创建波形 159
5.3.2 编辑波形 165
5.3.3 导出激励文件并使用 168
5.4 采用描述语言生成激励 170
5.5 ModelSim波形分析 175
5.5.1 波形窗口和列表窗口 175
5.5.2 时间标记 178
5.5.3 窗口的缩放 178
5.5.4 在窗口中搜索 180
5.5.5 窗口的格式编排 181
5.5.6 波形和列表的保存 184
5.5.7 信号总线 186
5.5.8 光标操作 186
5.5.9 其他功能 187
5.5.10 波形比较 188
5.6 存储器的查看和操作 194
5.6.1 存储器的查看 194
5.6.2 存储数据的导出 196
5.6.3 存储器初始化 197
5.6.4 存储器调试 198
5.7 数据流窗口的使用 199
5.7.1 概述 199
5.7.2 设计连通性分析 200
5.7.3 信号追踪和查找 201
5.7.4 设置和保存打印 203
5.7.5 本节实例 204
5.8 原理图窗口的使用 208
5.9 ModelSim的剖析工具 211
5.9.1 运行性能剖析和存储器剖析 211
5.9.2 查看性能剖析结果 212
5.9.3 查看存储器剖析报告 215
5.9.4 保存结果 216
5.10 覆盖率检测 217
5.10.1 启用代码覆盖 217
5.10.2 覆盖率的查看 222
5.10.3 覆盖率检测的过滤 225
5.10.4 覆盖信息报告 227
5.11 信号探测 230
5.12 采用JobSpy控制批处理仿真 232
5.12.1 JobSpy功能与流程 233
5.12.2 运行JobSpy 233
5.13 综合实例 235
实例5-1 三分频时钟的分析 235
实例5-2 同步FIFO的仿真分析 242
实例5-3 基2的SRT除法器的仿真
分析 248
第6章 ModelSim的协同仿真 256
6.1 ModelSim与Debussy的
协同仿真 257
6.1.1 Debussy工具介绍 257
6.1.2 Debussy配置方法 261
实例6-1 与Debussy的协同仿真 264
6.2 ModelSim与Matlab的协同
仿真 271
实例6-2 与Matlab的协同仿真 274
实例6-3 与Simulink的协同仿真 278
实例6-4 使用cosimWizard进行
协同仿真 286
第7章 ModelSim对不同公司器件的
后仿真 294
7.1 ModelSim对Altera器件的
后仿真 295
7.1.1 QuartusⅡ简介 295
7.1.2 后仿真流程 297
实例7-1 直接采用QuartusⅡ调用
ModelSim进行仿真 298
实例7-2 先用QuartusⅡ创建工程,再用
ModelSim进行时序仿真 310
7.2 ModelSim对Xilinx器件的
后仿真 317
7.2.1 ISE简介 317
7.2.2 后仿真流程 319
实例7-3 用ISE对全加器进行时序
仿真 319
实例7-4 用ISE直接调用ModelSim
进行时序仿真 327
7.3 ModelSim对Lattice器件的
后仿真 336
7.3.1 Diamond简介 336
7.3.2 后仿真流程 337
实例7-5 用Diamond对全加器进行
时序仿真 337
实例7-6 用Diamond完成布局绕线,使用
ModelSim进行时序仿真 343
7.4 ModelSim对Actel器件的后仿真 345
实例7-7 用Libero IDE调用ModelSim
进行时序仿真 346
第8章 ModelSim的文件和脚本 354
8.1 SDF文件 355
8.1.1 SDF文件的指定和编译 355
8.1.2 VHDL的SDF 357
8.1.3 Verilog的SDF 357
8.1.4 SDF文件信息 359
8.2 VCD文件 361
8.2.1 创建一个VCD文件 361
8.2.2 使用VCD作为激励 363
8.2.3 VCD任务 364
8.2.4 端口驱动数据 365
8.3 Tcl和DO文件 367
8.3.1 Tcl命令 367
8.3.2 Tcl语法 367
8.3.3 ModelSim的Tcl时序命令 368
8.3.4 宏命令 369
8.3.5 本节实例 371
內容試閱
再版前言
ModelSim是Mentor Graphics公司开发的EDA工具软件,是一款主要应用于HDL仿真的软件,为调试设计提供了强力的支持。
ModelSim是一款强大的仿真软件,不仅支持对HDL的仿真,还支持SystemC、C语言等的调试和仿真,使得在整个的设计中可以采用更灵活的手段来完成设计功能。
在仿真的过程中,ModelSim可以独立完成HDL代码的仿真,还可以结合FPGA开发软件对设计单元进行时序仿真,得到更加真实的仿真结果。多数的FPGA厂商都提供了与ModelSim的接口,使得设计者在器件的选择和结果的掌握上更加得心应手。
本书第1版在2012年出版以来,获得读者的广泛好评,已多次重印。并且,很多读者来信介绍他们具体应用ModelSim的情况,对本书提出了很多宝贵意见和建议。在此基础上,我们根据用户的建议、结合相关企业应用的需求和高校教学需求,再根据作者多年的教学和工作经验,进行了修订。第2版是在最新软件版本ModelSim 10.1c的基础上写作的,更新了大量内容,并且也更加贴合实际应用,相信可以更好地帮助读者深入应用ModelSim。
本书在编写过程中,突出了以下特点:
1.直观易懂性
全书以实例图解的形式介绍基础知识和实例操作,所有知识点和操作流程尽可能给出配套图片,直观易懂,使用户能够在最短的时间内获取最多的知识。
2.可扩展性
全书以ModelSim SE 10.1c版软件为平台进行讲解,但是讲解过程中提供了命令行操作和菜单操作两种操作方法,命令行操作使得讲解的知识更具扩展性。
3.实用性
全书采用了基础知识介绍和实例操作相结合的方法,互相补充,书中的实例都是具有实际意义的设计实例,并根据介绍内容的不同进行了选取,使读者能够更好地理解操作的过程,使读者在学完本书后能够快速地将知识应用于生产实践。
4.结构清晰,讲解详尽
全书采用基础知识、综合实例的循序渐进的讲解方法,一步步地提高用户的仿真技能,而且每个知识点和实例都做了尽可能详细地讲解,使用户学习起来轻松自如。
5.多媒体示范
本书的配套光盘中提供了所有实例的视频操作 ,读者可以在观看视频时增强对知识点的理解。同时,视频中操作的步骤严格按照书中实例的步骤进行,可以看到文字难以描述的过程。
本书分为8章,依次介绍ModelSim 10.1c基本知识、操作界面、工程和库、对不同语言的仿真、仿真分析方法、与其他软件的协同仿真、对不同公司器件的后仿真、ModelSim的文件和脚本等内容。
第1章 概述。介绍IC设计的基本流程和ModelSim不同版本的特点和功能,并给出一个简单的实例,快速地掌握使用ModelSim进行基本仿真的流程。
第2章 操作界面。介绍ModelSim的基本操作界面,包括菜单栏中各命令的基本功能和主界面中工作区、命令区、MDI区的功能,并介绍仿真中经常使用到的窗口。
第3章 工程和库。介绍工程和库的相关知识,给出详细的工程管理方法和库的建立导入方式,并给出了实例。
第4章 ModelSim对不同语言的仿真。介绍使用ModelSim对Verilog语言和VHDL的仿真方法,并分别配以实例进行讲解。给出了对SystemC的仿真方法和三种语言混合仿真需要注意的事项,并给出了与Verilog混合仿真的实例。
第5章 利用ModelSim进行仿真分析。介绍如何使用ModelSim观察仿真结果,进行仿真分析,主要包括WLF文件、创建波形激励、波形分析、存储器查看、数据流窗口、原理图窗口、性能分析、信号探测和利用JobSpy进行批处理使用等内容,在章末配有多个实例演示这些功能。
第6章 ModelSim的协同仿真。介绍如何使用其他软件工具与ModelSim进行系统仿真,弥补ModelSim的不足,主要介绍了使用Debussy和Matlab与ModelSim进行仿真的配置方法和步骤,并给出了实例。
第7章 ModelSim对不同公司器件的后仿真。介绍利用FPGA开发工具与ModelSim联合进行后仿真的过程,以Altera、Xilinx、Lattice、Actel四大业界主流厂商的开发工具为例,并结合实例演示。
第8章 ModelSim的文件和脚本。介绍前7章中涉及的文件类型,包括SDF文件、VCD文件、Tcl文件、DO文件等,这些文件都是在仿真中有重要作用的文件类型,在本章中统一进行讲解,并配以实例演示。
全书主要由哈尔滨理工大学于斌编写,参与本书编写和光盘开发的人员还有谢龙汉、林伟、魏艳光、林木议、王悦阳、林伟洁、林树财、郑晓、吴苗、李翔、莫衍、朱小远、唐培培、耿煜、尚涛、邓奕、张桂东、鲁力、刘文超、刘新东、米秀杰等。由于时间仓促,书中难免有疏漏之处,请读者谅解。如有任何意见和建议,读者可通过电子邮件yubin@hrbust.edu.cn与我们交流。
编 者

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 海外用户
megBook.com.tw
Copyright (C) 2013 - 2024 (香港)大書城有限公司 All Rights Reserved.